二值逻辑和四值逻辑——systemverilog

systemverilog中常用的数据类型

SV中引入了二值逻辑(取值0和1)的变量,这样可以减小仿真时的内存消耗。

类型数据类型
四值逻辑integer logic reg net-type(如 wire 和 tri )
二值逻辑bit byte shortint int longint
无符号位logic bit reg net-type(如 wire 和 tri )
有符号位byte(8bit) shortint(16bit) int(32bit) longint(64bit) integer(32bit)

不同​数据类型的所占的内存大小不同,其变量取值范围需要考虑是不是具有符号位。​

数据类型所占空间取值范围
bit1 bit0和1
logic2bit0、1、x、z
reg2bit0、1、x、z
wire2bit0、1、x、z
byte8bit-27 ~ 27-1的整数
shortint16bit-215 ~ 215-1的整数
int32bit-231 ~ 231-1的整数
integer32bitinteger 是四值逻辑,
longint64bit-263 ~ 263-1的整数

这里为了方便理解,如下:

byte c2; //和下面的等价
bit signed [7:0] c2;

integer i1;  //和下面的等价
logic signed [31:0] i1;

有符号位的数据类型也可以变为无符号位的,如下:

int unsigned ui;   //双状态,32比特无符号整数int i 		       //双状态,32比特有符号整数

PS:
一、进制转换的关系,如下:

  • 8位二进制 = 1字节( byte );

  • 1位十六进制 = 4位二进制

  • 2位十六进制 = 8位二进制 = 1字节(byte)

  • 8位十六进制 = 4 字节(byte)

    二、尽量避免两种不一致的变量进行操作,如果操作符两侧的符号类型不一致,要先将其转换为同一类型再进行运算。

  • 四值逻辑 --> 二值逻辑 时, x 和 z 值会变成 0 值;

  • 有符号位 --> 无符号位 时,会直接向最高位扩展一位符号位;

  • 9
    点赞
  • 36
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 4
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

小小verifier

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值