modelsim和matlab联合仿真,Modelsim与Matlab联合仿真

正 文

Modelsim与Matlab联合仿真(2009/11/12 16:28)

20091112162752774.jpg

评 论

4楼 cheney1982 发表于 2009/11/14 10:23 回复

啥博客啊?编辑了我半天怎么换个行都不行?晕。

3楼 cheney1982 发表于 2009/11/14 10:21 回复

弄了半天终于把Matlab和Simulink给联通了。 首先由于modelsim版本不对,弄了半天。例子来源于matlab自带的例子,inverter。 出现如下错误: The following signal names do not exist in the loaded VHDL model. /inverter/sin /inverter/sout /inverter/clk 分明是按照帮助一步步来的。怎么回事呢?原来Matlab 2008a与Modelsim 6.5b之间连接出了问题,换了一个6.2b版本,这个问题就解决了。 再试了一个edge_detection_Verilog得例子。连接成功了,但是例子上就是简单的双击ModelSim Startup Command是不行,不知道怎么回事,也不知道这个按钮是怎么弄得。 步骤大致为: 1,将edge_detection_Verilog.mdl和filter2d_v.v两个文件拷贝到同一个文件夹。 2,打开edge_detection_Verilog.mdl,Modelsim。执行以下操作,在建立的文件夹下新建library work(vlib work ; vmap work work)然后编译filter2d_v.v。关闭Modelsim 3,打开,在Matlab的命令窗口输入命令vsim('socketsimulink', 4449),自动打开Modelsim 4,在Modelsim下执行下列命令vsimulink work.filter2d_v。直接用在Modelsim下执行Vsim命令好像是不行, 需要用Vsimulink命令。 5,把需要观察的信号加入到Wave窗口。 6,在Simulink窗口执行Simulation->Start即可开始仿真,观察仿真结果了。

2楼 52RD网友 发表于 2009/11/13 08:56 回复

只有一张图

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值