modelsim和matlab联合仿真,一个simulink和modelsim联合仿真的简单例子(转)

首先,要安装matlab和modelsim,这个是不用说的了。

然后要在matlab里对modelsim进行配置,在命令窗口中输入configuremodelsim,根据提示一步一步进行即可。

然后在matlab的File/Set Path菜单中加入Modelsim所在的路径。

把matlab的当前路径切换到modelsim的可执行文件所在的目录,在命令窗口中输入

vsim('socketsimulink',4449)

则modelsim软件被启动,在modelsim中创建一个inverter.v文件:

module inverter(sin,sout,clk);

input [7:0] sin;

output [7:0] sout;

input clk;

reg [7:0] sout;

always @(posedge clk)

begin

sout<=~sin;

end

endmodule

这个程序很简单,就是个取反逻辑。

在modelsim的命令窗口输入:

vlib work

vmap work work

vlog inverter.v

如果有出错信息,注意检查modelsim的工作路径与文件的路径是否一致,代码是否有输入错误。

如果编译没有错误,就在命令窗口继续输入:

vsimulink work.inverter

现在modelsim的仿真已经运行起来了,但是这个仿真没有测试向量输入,实际上,modelsi

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值