vivado 仿真_QuestaSim/Modelsim用脚本自动化仿真Quartus II IP Core

一个编辑器,一个仿真debug工具,一杯茶水,一下午。正是,久坐不觉茶水凉,起身方知尿意浓。

新建一个工程文件夹,起个自己喜欢的名字。

然后在里面再新建四个文件夹。

88a742f1f5a9684fe33b419e8746fcc0.png

Dev 中放Quartus工程文件,

IP_Core中放在使用过程中调用的IP Core,

Sim中放Questasim/Modelsim工程和脚本、库文件、TB文件等。

Src中放.v文件

如果有文档再加个Doc,有芯片手册或资料再加个Datasheet。合理处理工程文件管理,别把所有的东西都放成一堆子。

fef8cc48f21880ea91ba268e41cd79bc.png

新建一个Quartus II 工程到Dev目录下。添加写好的代码和生成的需要用到的IP,我这里用到了pll、shift_ram、rom三个IP。一般IP用到的库文件会在IP生成的界面内。

ed8755e8c8877f768ca595eb95ba0884.png

库文件在Quartus的安装目录下。

d686ac421cf3b879d9165dcfd0c06f66.png

新建一个Questasim/Modelsim工程到Sim目录下。里面放下do脚本。和testbench文件。

#退出当前仿真#quit -simvlib work#编译修改后的文件vlog "../Sim/altera_lib/*.v"vlog "../Src/*.v"vlog "../Sim/*.v"#开始仿真vsim -voptargs=+acc work.tb_VGA_display_picture#添加指定信号#添加顶层所有的信号# Set the window types# 打开波形窗口view waveview structure# 打开信号窗口view signals# 添加波形模板add wave -divider {tb_VGA_display_picture}add wave tb_VGA_display_picture/*add wave -divider {VGA_display_picture}add wave tb_VGA_display_picture/uut_VGA_display_picture/*# 按照上面的添加方式可以添加更多模块的波形.main clear#运行xxmsrun 10us

修改脚本中对应的工程名。

把写好的.v添加到Questasim/Modelsim工程中,IP文件需要添加对应的.v文件,仿真用到的库文件放到Sim文件夹下的altera_lib中。

733b3f0594075a5f882680d6e46736f3.png

用Questasim/Modelsim打开工程,打开Sim文件夹下的 .mpf文件打开工程

1db7ea531bccdabedbafe4e04637769c.png

95e6de98c7b5796b86c91eaece700218.png 把所有代码都添加进来后,需要注意的是添加IP的.v文件,compile all一下,这里compile是编译一下IP的文件。然后在transcript里输入 do sim.do,点击回车,完成。 ad205ed33966be0a54de758f277b73ad.png 一次配置好后,修改RTL后,重新跑仿真,拢共需要几步?
do sim.do
一步

最后

别再用鼠标点点点了,没有试过这样仿真的同学,这里提供一个工程例子方便测试。关注 硅农 微信订阅号,后台回复 脚本仿真 。 Modelsim中使用TCL脚本编写do文件实现自动化仿真 Vivado&ISE&Quartus II调用Modelsim级联仿真
Vivado级联Modelsim仿真Re-launch问题 cb2411abb0b4d124ea09cb1290d5de3f.png
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值