《VHDL与数字系统设计》学习笔记(一)——Hello World

《VHDL与数字系统设计》学习笔记(一)

FPGA与VHDL初尝试

笔者暑期购进锆石FPGA开发板,从此进入了FPGA的新世界。锆石科技官方提供的代码为Verilog语言编写,然而笔者的课程所学硬件描述语言为VHDL,因此准备在此开发板上用VHDL编写锆石科技所提供的项目。

点亮LED

开发板提供8个LED,4个电容触摸按键以及4个普通按键,笔者想通过每个按键控制相应的LED。

代码

library ieee;
use ieee.std_logic_1164.all;

entity led is
	port(KEY0, KEY1, KEY2, KEY3, KEY4, KEY5, KEY6, KEY7 : in std_logic;
		  LED0, LED1, LED2, LED3, LED4, LED5, LED6, LED7 : out std_logic);
end led;

architecture arch of led is
	begin
	LED0 <= not KEY0;
	LED1 <= not KEY1;
	LED2 <= not KEY2;
	LED3 <= not KEY3;
	LED4 <= not KEY4;
	LED5 <= not KEY5;
	LED6 <= not KEY6;
	LED7 <= not KEY7;
end arch;

效果图

按下按键2的效果
同时按下三个按键的效果

  • 2
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值