《VHDL与数字系统设计》学习笔记(一)
FPGA与VHDL初尝试
笔者暑期购进锆石FPGA开发板,从此进入了FPGA的新世界。锆石科技官方提供的代码为Verilog语言编写,然而笔者的课程所学硬件描述语言为VHDL,因此准备在此开发板上用VHDL编写锆石科技所提供的项目。
点亮LED
开发板提供8个LED,4个电容触摸按键以及4个普通按键,笔者想通过每个按键控制相应的LED。
代码
library ieee;
use ieee.std_logic_1164.all;
entity led is
port(KEY0, KEY1, KEY2, KEY3, KEY4, KEY5, KEY6, KEY7 : in std_logic;
LED0, LED1, LED2, LED3, LED4, LED5, LED6, LED7 : out std_logic);
end led;
architecture arch of led is
begin
LED0 <= not KEY0;
LED1 <= not KEY1;
LED2 <= not KEY2;
LED3 <= not KEY3;
LED4 <= not KEY4;
LED5 <= not KEY5;
LED6 <= not KEY6;
LED7 <= not KEY7;
end arch;
效果图