1.1Verilog HDL语言要素

Verilog HDL语言要素

空白符(不重要)

空格符(\b) 制表符(\t) 换行符 换页符

注释符(同C)

单行注释 //

多行注释 /*

*/

/* 注释内容//多行嵌套单行注释 */

标识符

字母、数字、$、_

第一个字符是字母或下划线 _

转义标示符

以“\”开头

以空白结尾

关键字

所有的关键字都是小写

数值

逻辑数值状态

状态含义
0低电平、逻辑0或“假”
1高电平、逻辑1或“真”
x或X不确定或未知的逻辑状态
z或Z高阻态

整数及表示

+/-<size>'<base_format><number>

数制基数符号合法表示符
二进制b、B0、1、x、X、z、Z、?、_
八进制o、O0~7、x、X、z、Z、?、_
十进制d、D0~9,_
十六进制h、H0~9、 a~f、 A~F、x、X、z、Z、?、_

实数及其表示

  • 十进制表示法:小数点两边都必须有数字 例:3.0;5. 83

  • 科学计数法:例38.2e2;3E-2(e不分大小写)

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值