Simulink基于模型开发方式在任意单片机上运行

导言-一个基于模型的例子

使用Arduino在simulink中搭建的LED闪烁的一个例子,相比之前C语言代码实现的方式,这里没有写一行代码就实现了LED闪烁。读者有没有感觉这种开发方式即简单又方便,要实现什么功能就直奔主题,不用一行一行的敲代码、排查代码错误。图1只是arduino其中的I/O输出外设,在图2中还有其它很多外设可以使用,再结合matlab强大的功能,读者可以实现很多实际应用。

f4c3d16c7940e6e7a7cc522904bfd95c.jpeg

图1 Arduino-simulink的LED闪烁模型

d7542ed380a496a816293cbc741b1414.jpeg

图2 simulink中arduino其它外设的模型

任意单片机基于模型开发方式

并不是每一个芯片厂商都有足够的人力和资金投入到主流开发软件的生态适配,目前除了市面上用的比较火的几款单片机(STM32、TMS320F28xx、S32K等)和Arduino平台可以直接使用Matlab的硬件支持包直接开发外,其它单片机没办法直接使用,这里给大家介绍一种万能的方式,可以在任意单片机上使用基于Simulink模型开发方式。

1、8051单片机上运行Simulink模型代码

第1步:搭建Simulink模型

如图3 所示,,这里使用了三个库,分别是“Delay(延时模型)”、“Logical Operator-NOT(逻辑操作-非模型)”和“out(输出端口模型)”,这里的输出端口为了方便代码移植将名字改为LED。

36fd73ae00c1def4c58dd0337066c280.jpeg

图3 LED闪烁simulink模型

第2步:设置模型生成参数并生成代码

如图4所示,点击30e91470c589603c1bffab3405680776.png按钮“Model Configuration Parameters”对simulink进行设置。

ee4aff62dbd47ff63127fe44995c1a60.jpeg

图4 simulink设置按钮

如图5所示,在弹出的“Configuration Parameters:xxxx”窗口中找到“Solver”栏,并点击设置运行参数,按照图中的设置,完成后点击“Apply”。

7342303c16c55db1ca3f9df2e407584e.jpeg

图5 “Solver”栏参数设置

如图6所示,在“Hardware Implementtation”栏中设置硬件参数,按照图中的设置进行操作,完成后点击“Apply”。

27e9c39c72c2b77d5ca25d7727154aae.jpeg

图6 “Hardware Implementtation”栏参数设置

如图7所示,点击“Code Generation”栏,设置代码生成参数,完成后点击“Apply”。

98b37d0482a428e2308008713d7ecd46.jpeg

图7 “Code Generation”参数设置

如图8所示,点开“Code Generation”左边的“>”符号,在子栏目中找到“Code Style”项设置代码生成风格,完成后点击“Apply”。

0db1f14156daaf2d4c9816d3b375635e.jpeg

图8 “Code Style”栏设置

如图9所示,点开“Code Generation”左边的“>”符号,在子栏目中找到“Code Placement”中设置“File packaging format”参数,完成后点击“Apply”,到这一步,所有参数都设置完成,然后点击“OK”按钮。

4097f003b91b0eb9b061d75567d5b0c4.jpeg

图9 “Code Placement”栏设置

如图10所示,点击cd8fa40e8ef8583dc0ad89cb02c65c8f.png按钮“Build Model”生成代码。

165a002275299087b30cbf3e41e3a993.jpeg

图10 点击生成模型代码

代码生成根据电脑性能不同,需要的时间也不一样,稍等一会儿,弹出“Code Generation Report”窗口报告,如图11所示,在这个窗口中可以看到整个模型生成了三个文件,分别是“ert_main.c”、"LED_Blink.c"和"LED_Blink.h",然后在LED_Blink.slx文件所在的目录下多了一个“LED_Blink_ert_rtw”名字的文件夹,生成的三个文件也包含在当中;看这三个代码有点像之前讲的模块化编程方式命名。

7c3adce3a0a9cdf17bd428151b53409a.jpeg

图11 LED_Blink模型代码生成报告

第3步:将simulink生产的代码添加到8051单片机工程中

这一步也是整个过程中最关键部分,也是在很多资料中一直没讲清楚的部分,将生成的代码移植到51单片机工程中去。先新建一个名字(其它名字也可以,只要正确建立Keil工程即可)为“LED_Blink”的Keil工程,工程的存放路径放在刚刚模型生成代码"Blink→LED_Blink_ert_rtw“文件夹下,如图12所示。

552e0780449c0ab748bc6568088f0d72.jpeg

图12 在生成模型文件夹下建一个Keil工程

打开刚刚建立的Keil工程,将ert_main.c和LED_Blink.c文件添加到”Source Group 1“中,如图13所示。

bed95e8c1ddf7df093a90ea4bcb9ea2f.jpeg

图13 模型代码添加

在刚刚添加的文件中双击打开ert_main.c,在下面代码位置处加入8051单片机的内容,代码如下所示:

#include <stddef.h>
#include <stdio.h> /* This ert_main.c example uses printf/fflush */
#include "LED_Blink.h" /* Model's header file */
#include "rtwtypes.h"

#include <REGX52.H> /* 添加51单片机头文件 */


/*********** 变量定义 *************/

#define MAIN_CLOCK 12000000
#define SYSTEM_DELAY 1000 /* 系统周期1ms */

#define LED_TASK_TIME 500 /* SYSTEM_DELAY*0.500 = 500ms任务 */


uint16_T LED_Task_Count = LED_TASK_TIME; /* 任务定时器变量 */

void SYSTEM_T0_Init( void ); /* 定时器0初始化函数 */

添加单片机代码

然后在ert_main.c文件中添加定时器初始化代码,如下所示:

void SYSTEM_T0_Init( void )
{
/* 定时器0配置为16位定时器,当溢出时手工重装 */
/* 清除所有有关T0的位 (T1不变) */
TMOD &= 0xF0;
/* 设置所需的T0相关位 (T1 不变) */
TMOD |= 0x01;


/* 停止定时器0 */
TR0 = 0;

/* 设置定时器重装值 */
/* 我们这里设置1ms产生一次中断 */
/* 定时器低8位赋值 */
TL0 = 65536 -(MAIN_CLOCK/SYSTEM_DELAY/12);
/* 定时器高8位赋值 */
TH0 = (65536-(MAIN_CLOCK/SYSTEM_DELAY/12))>>8;

/* 启动T0 */
TR0 = 1;

/* 使能定时器T0中断 */
ET0 = 1;
}

在ert_main.c中编写定时器中断代码,如下所示:

/*************************************
** 函 数 名:SYSTEM_Tick_Update() interrupt 1
** 输入参数:none
** 返 回 值:none
** 说 明:定时器T0中断入口函数
**************************************/
void SYSTEM_Tick_Update( void ) interrupt 1
{

/* 停止定时器0 */
TR0 = 0;

/* 设置定时器重装值 */
/* 我们这里设置1ms产生一次中断 */
/* 定时器低8位赋值 */
TL0 = 65536 -(MAIN_CLOCK/SYSTEM_DELAY/12);
/* 定时器高8位赋值 */
TH0 = (65536-(MAIN_CLOCK/SYSTEM_DELAY/12))>>8;

/* 启动T0 */
TR0 = 1;

/* LED Task 任务计时器*/
LED_Task_Count--;
}

定时器中断函数代码

在main主函数中对代码进行修改,如下所示:

int_T main()
{

/* Initialize model */
LED_Blink_initialize();

/* 定时器0 初始化 */
SYSTEM_T0_Init();

/* 使能总中断,这样定时器0才会启动 */
EA = 1;

/* Attach rt_OneStep to a timer or interrupt service routine with
* period 0.2 seconds (the model's base sample time) here. The
* call syntax for rt_OneStep is
*
* rt_OneStep();
*/
while (rtmGetErrorStatus(LED_Blink_M) == (NULL)) {
/* Perform other application tasks here */
if(LED_Task_Count<=0)
{
LED_Task_Count = LED_TASK_TIME;

rt_OneStep();

/*输入/输出接口放置位置*/
P1_0 = LED_Blink_Y.LED;

}
}

/* Disable rt_OneStep() here */

/* Terminate model */
LED_Blink_terminate();
return 0;
}

main函数中代码

第4步:编译Keil工程并运行代码

点击Keil编译按钮编译代码,然后将代码烧录到实际芯片中或使用Pretous仿真验证代码。到这里大家就完成了在51单片机上实现LED闪烁Simulink模型代码移植的例子,LED闪烁的频率实际由宏定义#define LED_TASK_TIME 500来控制,在8051单片机上运行该代码时LED将以500ms的周期翻转。

2、simulink生成模型代码剖析

关于8051单片机怎么搭建简单操作系统框架可以参考网络上其他文章或关注作者的后续文章,这里就不再赘述,如图14所示的LED闪烁模型,实际上就是运行模型生成的rt_OneStep()函数,每个Simulink生成的模型里面都会有这个函数,rt_OneStep()运行完之后所有通过输入端的数据都会在搭建的模型逻辑中处理,然后通过输出端输出处理完后的数据,在LED闪烁模型中,没有输入端,只有输出端,所以模型每运行完一次,里面的逻辑翻转一次输出端口LED状态,即LED_Blink_Y.LED的数据,然后只需将模型输出端口与实际物理端口关联即可,这里关联的是P1_0端口,则最终表现出来的效果就是P1_0状态,即模型每运行一次,P1_0端口电平发生一次翻转,P1_0端口有连接LED,LED就会一直闪烁。

75accfba760b0450da0215a5cee9e847.jpeg

图14 基于模型LED程序执行流程

下面再深入到rt_OneStep()函数详细了解下它到底在里面做了什么事情,是不是与前面所说的一致,rt_OneStep()函数详细内容,函数中的其它内容暂时不管,可以看到里面关键的一步,调用了LED_Blink_step()函数,具体代码如下所示:

void rt_OneStep(void)
{
static boolean_T OverrunFlag = false;

/* Disable interrupts here */

/* Check for overrun */
if (OverrunFlag) {
rtmSetErrorStatus(LED_Blink_M, "Overrun");
return;
}

OverrunFlag = true;

/* Save FPU context here (if necessary) */
/* Re-enable timer or interrupt here */
/* Set model inputs here */

/* Step the model */
LED_Blink_step();

/* Get model outputs here */

/* Indicate task complete */
OverrunFlag = false;

/* Disable interrupts here */
/* Restore FPU context here (if necessary) */
/* Enable interrupts here */
}

然后再到LED_Blink_step()函数中查看具体内容,在主函数中调用的LED_Blink_Y.LED在该函数中可以找到具体的逻辑。至此,LED闪烁模型的整个代码分析完成。其它模型也可以采用类似的方式来分析,具体代码如下所示:

void LED_Blink_step(void)
{
boolean_T rtb_Delay;

/* Delay: '<Root>/Delay' */
rtb_Delay = LED_Blink_DW.Delay_DSTATE[0];

/* Outport: '<Root>/LED' incorporates:
* Delay: '<Root>/Delay'
*/
LED_Blink_Y.LED = LED_Blink_DW.Delay_DSTATE[0];

/* Update for Delay: '<Root>/Delay' incorporates:
* Logic: '<Root>/Logical Operator'
*/
LED_Blink_DW.Delay_DSTATE[0] = LED_Blink_DW.Delay_DSTATE[1];
LED_Blink_DW.Delay_DSTATE[1] = !rtb_Delay;
}

  • 2
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 2
    评论
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

想啥做啥

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值