自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(36)
  • 资源 (1)
  • 收藏
  • 关注

原创 PS_为新建图层添加背景色

方法1:将 <设置背景色> 调整为想要的背景颜色 选中相应的图层 Ctrl+Backspace方法2:将 <设置前景色> 调整为想要的背景颜色 选中相应的图层 选中<油漆桶工具>,点击图片...

2019-12-17 20:58:21 3261

原创 Testbench读取文本文件函数$readmemb测试

$readmemb读取二进制文本$readmemh读取十六进制文本txt文件:https://pan.baidu.com/s/1_KhzGnSqCSXrzT50h38UZA程序:module sin(input [13:0] data_sin_in,output [13:0] data_sin_out);assign data_sin_out = dat...

2019-12-16 19:22:06 2812

转载 离散傅里叶变换-DFT(FFT基础)

转载:https://blog.csdn.net/zhangxz259/article/details/81627341本文是从最基础的知识开始讲解,力求用最通俗易懂的文字将问题将的通俗易懂,大神勿喷,多多指教啊,虽然说是从零学习FFT,但是基本的数学知识还是要有的,sin,cos,等。 FFT(快速傅里叶变换)其本质就是DFT,只不过可以快速的计算出DFT结果,要弄懂FFT,...

2019-11-04 16:02:40 646

原创 FPGA/IC相关词汇缩写意义

DC(Design Compiler):逻辑综合DFT(Design For Testability):可测试性技术STA(Static Timming Analysis):静态时序分析简称

2019-07-29 16:08:09 548

原创 CPU五级流水线

取指:指令取指(InstrucTIon Fetch)是指将指令从存储器中读取出来的过程。译码:指令译码(InstrucTIon Decode)是指将存储器中取出的指令进行翻译的过程。经过译码之后得到指令需要的操作数寄存器索引,可以使用此索引从通用寄存器组(Register File,Regfile)中将操作数读出。执行:指令译码之后所需要进行的计算类型都已得知,并且已经从通用寄...

2019-07-27 11:19:45 16537

原创 格雷码下的 fifo空满判断

使用gray码进行对比,如何判断“空”与“满”  使用gray码解决了一个问题(降低亚稳态),但同时也带来另一个问题,即在格雷码域如何判断空与满。  对于“空”的判断依然依据二者完全相等(包括MSB);  而对于“满”的判断,如下图,由于gray码除了MSB外,具有镜像对称的特点,当读指针指向7,写指针指向8时,除了MSB,其余位皆相同,不能说它为满。因此不能单纯的只检测最高位了,在g...

2019-07-19 20:46:02 4966

原创 门电路符号

2019-07-03 17:27:15 5397

原创 oc od ttl cmos

1、TTL-OCttl不能线与2、MOS-ODOD门 :基极b => 栅极g 集电极c => 漏极d (一般作为OC-OD的输出端) 发射极e => 源极s有箭头为发射极e,源极s漏极开路门漏极开路门是一个十分经典常用的电路,常见于主芯片的GPIO口或者单片机...

2019-07-03 11:41:09 488

原创 CORDIC操作流程

举例:1、假设在xy坐标系中有一个点P1(x1,y1)已知,将P1点绕原点旋转θ角后得到点P2(x2,y2),求P2(x2,y2)坐标。如图:于是可以得到P1和P2的关系。x2 = x1cosθ – y1sinθ = cosθ(x1 – y1tanθ)y2 = y1cosθ + x1sinθ = cosθ(y1 +x1tanθ)以上就是CORDIC的几何原理部分从原理中,...

2019-07-02 17:06:39 287

原创 verilog一些语法

1、逻辑移位与算术移位右移位数不变,左移扩充位数逻辑移位:>>,<<,不考虑符号reg [7:0] a ,b;a=8'b1100_1101;b= (a>>1);b :8'b0110_0110算术移位:>>>,<<<,考虑符号位reg signed [7:0] a ,b;a=8'b1...

2019-07-02 16:53:26 706

转载 门控时钟

时钟信号的功耗占系统功耗的很大一部分(40%左右)占动态功耗的50%以上使用效果实例:a.对于一个180nm工艺的芯片:有clockgating和没有clockgating的结果相比(芯片实测):a.功耗节省34%到43%(具体更应用模式有关)a.面积节省20%(一个clockgating能代替多个mux)使用原则:a.至少位宽是3或以上的寄存器才用...

2019-07-02 11:03:10 341

转载 有关两级触发器进行异步时钟域同步

两级触发器可防止亚稳态传播的原理:一位同步器.png假设第一级触发器的输入不满足其建立保持时间,它在第一个脉冲沿到来后输出的数据就为亚稳态,那么在下一个脉冲沿到来之前,其输出的亚稳态数据在一段恢复时间后必须稳定下来,而且稳定的数据必须满足第二级触发器的建立时间,如果都满足了,在下一个脉冲沿到来时,第二级触发器将不会出现亚稳态,因为其输入端的数据满足其建立保持时间。同步器有效的条件:第...

2019-07-01 11:14:51 3819

转载 define-parameter

define,是宏定义,全局有效。则在整个工程都是有效parameter,参数,可以由调用者修改参数值。localparam,本地参数,调用者不可修改。对于parameter:一、module内部有效的定义 用parameter来定义一个标志符代表一个常量,称作符号常量,他可以提高程序的可读性和可维护性。parameter是参数型数据的关键字,在每一个赋值语句的右边都必...

2019-06-22 10:05:17 334

翻译 FPGA时钟分频

时钟信号的处理是FPGA的特色之一,因此分频器也是FPGA设计中使用频率非常高的基本设计之一。一般在FPGA中都有集成的锁相环可以实现各种时钟的分频和倍频设计,但是通过语言设计进行时钟分频是最基本的训练,在对时钟要求不高的设计时也能节省锁相环资源。在本实验中我们将实现任意整数的分频器,分频的时钟保持50%占空比。1,偶数分频:偶数倍分频相对简单,比较容易理解。通过计数器计数是完全可以实现的。如...

2019-06-03 20:16:41 3788

转载 大疆FPGA开发工程师笔试

作者:zhsjfpga链接:https://www.nowcoder.com/discuss/85430来源:牛客网一共是75分钟的时间,从19:30到20:45,题型如下:(可能分值会有错误,实在记不清了)20个单选: 40分10个多选: 20分5个填空题:15分5个简单题:25分FPGA开发板工程师的题目,个人感觉考得很琐碎,涉及到的点很多,楼主答得不是很好,...

2019-05-20 19:27:40 4765

转载 FPGA求最大值和次大值

转载:https://www.cnblogs.com/sea-wind/p/8384596.html0. 题目 在FPGA上实现一个模块,求32个输入中的最大值和次大值,32个输入由一个时钟周期给出。(题目来自论坛,面试题,如果觉得不合适请留言删除) 从我个人的观点来看,这是一道很好的面试题目:其一是这大概是某些机器学习算法实现过程中遇到的问题的简化,是很有意义的一道题目...

2019-05-08 10:40:49 10312

原创 光通量,光强,亮度,照度

1、光通量 F:单位时间通过的光量,单位:流明(lm)2、发光强度(光强) I:单位立体角的光通量,I=F/Ω,单位:坎德拉(cd)3、亮度 L:单位面积光强,L=I / (S*sin),单位:坎德拉/平米(cd/)4、照度 E:单位面积接受的光通量,E = F / S,单位:勒克斯(lx)关系:单位时间光量为光通量;单位立体角光通量为光强; 单位面积光通量为照度;单...

2019-05-06 21:47:52 28992 1

转载 RGB、YUV和HSV颜色空间模型

转载:https://www.cnblogs.com/justkong/p/6570914.html一、概述颜色通常用三个独立的属性来描述,三个独立变量综合作用,自然就构成一个空间坐标,这就是颜色空间。但被描述的颜色对象本身是客观的,不同颜色空间只是从不同的角度去衡量同一个对象。颜色空间按照基本机构可以分为两大类:基色颜色空间和色、亮分离颜色空间。前者典型的是RGB,后者包括YUV和HSV...

2019-05-06 20:43:02 202

原创 FPGA之速度、面积、功耗结构设计

一、速度流水线:增大流量,牺牲面积二、面积1、折叠流水线:减少面积、资源,牺牲速度2、置位/复位:当面积是考虑的关键时,应尽可能地避免使用置位/复位三、功耗...

2019-04-24 21:37:24 991

翻译 FPGA设计-时序约束(上篇,理论篇)

https://blog.csdn.net/u012176730/article/details/54412323STA:Static Timing AnalysisSTA(Static Timing Analysis,即静态时序分析)在实际FPGA设计过程中的重要性是不言而喻的,其作用是:1.帮助分析和验证一个FPGA设计的时序是否符合要求;2.指导f...

2019-04-15 16:40:14 350

转载 FPGA的一些琐碎知识整理

1.生产FPGA的厂家有:ALTERA、XILINX、ATCEL、Latticeps: Altera和Xilinx主要生产一般用途FPGA,其主要产品采用SRAM工艺 Actel主要提供非易失性FPGA,产品主要基于反熔丝工艺和FLASH工艺 ps: 熔丝,顾名思义:把丝熔掉,反熔丝技术恰相反,原来断接的点在烧录之后,短接上了,这种短接是永久性的!反熔丝是一次性!!...

2019-04-15 15:53:41 299

转载 UART, SPI, IIC的详解

1、UART, SPI, IIC的详解UART、SPI、IIC是经常用到的几个数据传输标准,下面分别总结一下:UART(Universal Asynchronous Receive Transmitter):也就是我们经常所说的串口,基本都用于调试。主机和从机至少要接三根线,RX、TX和GND。TX用于发送数据,RX用于接受数据(收发不是一根线,所以是全双工方式)。注意A和B通信A....

2019-04-03 11:27:52 229

转载 建立时间和保持时间

(转)建立时间和保持时间关系详解https://www.cnblogs.com/lilto/p/9581143.html图1 建立时间(setup time)是指在触发器的时钟信号上升沿到来以前,数据稳定不变的时间,如果建立时间不够,数据将不能在这个时钟上升沿被打入触发器;保持时间(hold time)是指在触发器的时钟信号上升沿到来以后,数据稳定不变的时...

2019-03-27 21:37:46 898

转载 PWM-verilog

转载:http://www.cnblogs.com/ninghechuan/p/6291499.html简述PWM  PWM——脉宽调制信号(Pulse Width Modulation),它利用微处理器的数字输出来实现,是对模拟电路控制的一种非常有效的技术,广泛应用于测量、通信、功率控制与变化等许多领域。  LED特效呼吸灯原理  采用pwm的方式,在固定的频率下,采用占空比的方...

2019-02-27 19:46:22 3665

转载 latency与delay

latency与delay是FPGA设计中常用到的两个概念,可惜国内很多资料将二者混淆,都翻译为延时,这是不确切的。latency意思是潜伏,比如,第四个时钟才用的数据,但该数据已在时钟1输出,为此,采用某种方法,如D触发器级联等,使该数据在1、2、3时钟器件淹没(输出端看不到),即为潜伏。 delay是指数据应在时钟1输出,但却在时钟2输出,这就是延时,延时有线延时,门级延时等,大多数情况下是不...

2019-02-22 11:26:37 1449

原创 状态机(state machine)

一、状态机分类Mealy状态机:输出取决于输入和当前状态状态寄存器:由一组触发器组成,用来记忆状态机当前所处的状态,状态的改变只发生在时钟的跳变沿。状态寄存器由一组触发器组成,用来记忆状态机当前所处的状态,状态的改变只发生在时钟的跳变沿。状态是否改变、如何改变,取决于组合逻辑F的输出,F是当前状态和输入信号的函数。状态机的输出是由输出组合逻辑G提供的,G也是当前状态和输入信号的函数...

2019-01-14 15:22:00 6053

转载 Verilog-@( * )

电平触发的 always 块常常描述组合逻辑行为。 如果组合逻辑块语句的输入变量很多,那么编写敏感列表会很烦琐并且容易出错。@( * )表示对后面语句块中所有输入变量的变化都是敏感的,当敏感信号较多时,使用此方法比较推荐...

2019-01-14 11:47:41 4845

转载 SPI总线的原理与Verilog实现

转载:http://www.cnblogs.com/liujinggang/p/9609739.html一、 软件平台与硬件平台  软件平台:    1、操作系统:Windows-8.1    2、开发套件:ISE14.7    3、仿真工具:ModelSim-10.4-SE  硬件平台:    1、 FPGA型号:Xilinx公司的XC6SLX45-2CSG324...

2019-01-02 17:13:45 8774 4

原创 官方的AD9923A配置ICX274AQ的寄存器问题?

最近在使用AD9923A做CCD时序,遇到一些问题,所以看了官方的AD9923A配置ICX274AQ的寄存器,对这个寄存器列表的数值有些疑问,希望大神能指导一二:1、关于垂直图样和垂直序列寄存器:090:0000002:说明有两个垂直图样091:0000002:说明有两个垂直序列我对所给的寄存器列表进行了划分,是有两个垂直图样,两个垂直序列,一个场垂直序列寄存器中02,也就是第三个寄存...

2018-12-13 20:47:04 297 2

转载 异步复位,同步释放

1、首先给出异步复位信号亚稳态的原因:  复位结束也就是释放的时刻恰在时钟上升沿的建立时间和保持时间之间时无法决定现在的复位状态是1还是0,造成亚稳态。  下面是具体解释:  在带有复位端的D触发器中,当reset信号“复位”有效时,它可以直接驱动最后一级的与非门,令Q端“异步”置位为“1”or“0”。这就是异步复位。当这个复位信号release时,Q的输出由前一级的内部输出决定。然...

2018-11-21 11:12:55 162

转载 相关双采样(CDS)

相关双采样(CDS):这是CCD中很重要的一个概念,它是根据CCD输出信号和噪声信号的特点而设计,它能消除复位噪声的干扰,对低频噪声也有抑制作用,可以显著改善信噪比,提高信号检测精度。由于CCD每个像元的输出信号中既包含有光敏信号,也包含有复位脉冲电压信号,若在光电信号的积分开始时刻和积分结束时刻,分别对输出信号采样(在一个信号输出周期内,产生两个采样脉冲,分别采样输出信号的两个电平,即一次是对复...

2018-11-20 20:42:57 12615

转载 TCP/IP四层模型与OSI参考模型

TCP/IP四层模型:1.链路层(数据链路层/网络接口层):包括操作系统中的设备驱动程序、计算机中对应的网络接口卡2.网络层(互联网层):处理分组在网络中的活动,比如分组的选路。3.运输层:主要为两台主机上的应用提供端到端的通信。4.应用层:负责处理特定的应用程序细节。  假设在一个局域网(LAN)如以太网中有两台主机,二者运行FTP协议:网络层与运输层的区...

2018-11-19 16:35:43 134

转载 锁相环PLL

锁相环PLL 目前我见到的所有芯片中都含有PLL模块,而且一直不知道如何利用PLL对晶振进行倍频的,这次利用维基百科好好的学习了下PLL的原理。1. 时钟与振荡电路在芯片中,最重要的就是时钟,时钟就像是心脏的脉冲,如果心脏停止了跳动,那人也就死亡了,对于芯片也一样。了解了时钟的重要性,那时钟是怎么来的呢?时钟可以看成周期性的0与1信号变化,而这种周期性的变化可以看成振荡。因此,振荡...

2018-10-26 20:38:11 253

转载 双向端口

原文:https://blog.csdn.net/guqian110/article/details/10189007?utm_source=copy 1. 双向端口简介三态缓冲器也称三态门,其典型应用是双向端口,常用于双向数据总线的构建。在数字电路中,逻辑输出有两个正常态:低电平状态(逻辑0)和高电平状态(逻辑1),此外,电路还有不属于0和1的高阻态(逻辑Z)。所谓高阻,即输出端属于浮空...

2018-10-17 15:00:50 2875

转载 【转】为什么FPGA难学?是因为你还没搞清背后的根源

经常看到有初学者的提问,本人零基础,想学FPGA,求有经验的人说说,我应该从哪入手,应该看什么教程,应该用什么学习板和开发板,看什么书等,希望有经验的好心人能够给我一些引导。FPGA到底怎么学呢?如果想速成,那就上网看视频吧,这样主要是面对应用的,一个小时内让你的板子运行起来。早期起来的快,活学活用,就是后期没有系统理论支持,会有些吃力,特别是大项目,那完全是个悲剧。国内做的可以的,我知道...

2018-09-18 22:15:43 616 1

原创 相机镜头选取

1、物距、像距、焦距之间的关系认为物距远远大于像距和焦距,近似认为焦距 f 等于相距 v,都以焦距 f 作为计算2、镜头的焦距(f )、物距(u)、CCD芯片尺寸、物体尺寸、CCD像元尺寸与设计要求分辨率(设计要达到的指标:每个像元表示多少物体尺寸)之间的关系:焦距f / 物距u = CCD芯片尺寸 / 物体尺寸 = CCD像元尺寸 / 设计要求分辨率焦距f ...

2018-05-09 21:03:25 4507

WINRAR广告去除

此操作可以去除我们常用的解压缩工具WINRAR的弹出广告

2019-05-06

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除