verilog一些语法

1、逻辑移位与算术移位

右移位数不变,左移扩充位数

逻辑移位:>>,<<,不考虑符号

reg  [7:0]  a ,b;

a=8'b1100_1101;

b= (a >>1);

b :8'b0110_0110

算术移位:>>>,<<<,考虑符号位

reg signed  [7:0]  a ,b;

a=8'b1100_1101;

b= (a >>1);

b :8'b1110_0110

 

2、缩减运算符

&、~&、|、~|、^、~^(^~)

 

3、等于与全等于

==、!= :逻辑等式运算符。其结果由 两个操作数的值决定。由于操作数中某些位可能是不定值x和高阻值z,结果可能为不定值x

===、!==:对操作数进行比较时对某些位的不定值x和高阻值z也进行比较,两个操作数必需完全一致,其结果才是1,否则为0 

4、高阻

12'dz               //位宽为12的10进制数,其值为高阻值(形式一)

12'd?               //位宽为12的10进制数,其值为高阻值(形式二)

5、负数

在位宽前面加一个减号,减号必须在数字定义表达式的最前面

-8'd5               //代表5的补数(采用8位二进制表示)

 

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值