Verilog-@( * )

电平触发的 always 块常常描述组合逻辑行为。

 

如果组合逻辑块语句的输入变量很多,那么编写敏感列表会很烦琐并且容易出错。

@( * )表示对后面语句块中所有输入变量的变化都是敏感的,当敏感信号较多时,使用此方法比较推荐

  • 2
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值