在gvim中使用Emacs verilog mode环境配置方法

第一步:安装Emacs

这里就不详细介绍了,大家可参考:
https://blog.csdn.net/sunrier/article/details/7519753?spm=1001.2101.3001.6650.2&utm_medium=distribute.pc_relevant.none-task-blog-2%7Edefault%7EBlogCommendFromBaidu%7ERate-2-7519753-blog-43965261.235%5Ev40%5Epc_relevant_3m_sort_dl_base3&depth_1-utm_source=distribute.pc_relevant.none-task-blog-2%7Edefault%7EBlogCommendFromBaidu%7ERate-2-7519753-blog-43965261.235%5Ev40%5Epc_relevant_3m_sort_dl_base3&utm_relevant_index=3

第二步:下载verilog mode插件

下载地址:https://github.com/veripool/verilog-mode
下载后解压,在.vim目录下新建verilog_mode目录,将verilog-mode.el拷贝到 .vim/verilog_mode下。

第三步:下载verilog-emacsauto.vim插件

下载地址:https://github.com/zhuzhzh/verilog_emacsauto.vim
下载后解压,将verilog-emacsauto.vim 拷贝到 .vim/plugin目录下,并修改verilog-emacsauto.vim 中的指向verilog-mode.el 的路径:
在这里插入图片描述

第四步:添加快捷命令

在.vimrc中为gvim添加如下内容:

map ta  :call EmacsBatchAuto()<CR>
map tb  :call EmacsBatchDeleteAuto()<CR>
function EmacsBatchAuto()
	!emacs --batch % -f verilog-batch-auto -q -script ~/.emacs
endfunction
function EmacsBatchDeleteAuto()
	!emacs --batch % -f verilog-batch-delete-auto -q -script ~/.emacs
endfunction

测试

新建demo.v文件,输入如下内容保存:

module io1_sub(/*AUTOARG*/);
   /*AUTOINPUT*/
   /*AUTOINOUT*/
   /*AUTOOUTPUT*/

   /* inst AUTO_TEMPLATE (
    .lower_inb    (1'b1),
    )*/
   instio instio (/*AUTOINST*/);

endmodule

module instio (/*AUTOARG*/);
   input lower_ina;
   inout lower_io;
   output lower_out;
   input sec_ina;
   inout sec_io;
   output sec_out;
   wire   lower_out = lower_ina | lower_io;
   wire   sec_out   = sec_ina   | sec_io;
endmodule

用gvim打开demo.v后:
在normal模式下输入ta,看是否自动插入代码;
在normal模式下输入tb,看是否自动撤销插入。

参考文章

https://blog.csdn.net/tbzj_2000/article/details/88024225
https://blog.csdn.net/CrazyUncle/article/details/129344073

  • 9
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
要配置GVim环境来编写和调试Verilog代码,需要遵循以下步骤: 1. 安装GVim:首先,从GVim官方网站或软件仓库下载和安装GVim。根据你的操作系统,选择相应的版本进行安装。安装完成后启动GVim。 2. 安装插件管理器:GVim支持插件,可以通过插件扩展其功能。使用插件管理器可以方便地安装和管理这些插件。常见的插件管理器包括Vundle、Pathogen和vim-plug。选择并安装一个插件管理器。 3. 配置插件管理器:在GVim的配置文件.vimrc添加插件管理器的相关配置。一般来说,需要设置插件管理器的安装目录和相关插件列表。 4. 安装Verilog插件:使用插件管理器来安装Verilog相关插件。例如,Verilog-SystemVerilog插件、vim-verilog等。这些插件提供了Verilog代码的高亮显示、自动补全等功能。 5. 配置Verilog插件:在.vimrc文件根据插件的文档提供的说明来进行配置。可以设置Verilog代码缩进、快捷键映射、自动补全规则等。 6. 设置编译和调试环境:GVim通常需要与其他工具配合使用来进行Verilog代码的编译和调试。例如,使用iverilog进行编译,使用GTKWave进行波形查看。根据你选择的工具,配置GVim相关的设置和宏定义。 7. 自定义设置:根据个人喜好,可以自定义GVim的配色方案、字体、窗口布局等设置,使其更符合个人的使用习惯。 8. 测试和调试:配置完成后,可以打开一个Verilog文件进行测试和调试。通过插件提供的功能,可以方便地进行代码编写、自动补全、语法检查等操作。 总之,配置GVim环境来编写和调试Verilog代码需要安装GVim和插件管理器,安装Verilog相关插件并进行配置,设置编译和调试环境,自定义GVim设置,并进行测试和调试。这样就可以在GVim高效地编写和调试Verilog代码了。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值