74160同步置数法解析(以接成同步八进制计数器为例)

我们先来看一下电路逻辑图, 从中提取核心信息:

① 将QD、QC、QB、QA接成0010是为了配合LOAD引脚使用, 以将74160的状态置为0010.

②计数器的最大状态为1001, 当74160到达1001时, 通过7400N与非门将LOAD引脚置为0, 当下一个CLK上升沿到来时, 74160的状态即被置为0010; 当电路的状态到达0010时, LOAD引脚恢复高电平, 之后若干个CLK上升沿 74160正常计数.

③当QD、QC、QB、QA到达1001时, 计数器产生进位信号, 即RCO=1: 这正好与74160本身的性质一致, 所以可直接将74160的RCO引脚作为计数器输出引脚.

可能产生的疑问:

①ENT和ENP引脚是不是有些多余? 

答: 不多余. ENT和ENP引脚有一个为低电平时, 74160即处于保持状态——后续要更新的博客"ENT引脚设计法解析"就是用这两个引脚的巧妙配合, 实现同步100进制加法计数器的功能.

②QD、QC、QB、QA被置为0010前后, 74160是如何工作的?

答: (QD, QC, QB, QA) = (1,0,0,0) -> 系统CLK上升沿到来 -> (QD, QC, QB, QA) = (1,0,0,1) -> LOAD 由 1->0 -> 系统CLK上升沿到来 -> (QD, QC, QB, QA) = (D, C, B, A) = (0,0,1,0) -> LOAD 由 0->1

  • 15
    点赞
  • 62
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
模n计数器是一种在数字电路中常用的计数器设计方法。它可以实现在给定的模n范围内进行计数,并且可以周期性地输出结果。Verilog是一种硬件描述语言,可以用于设计数字电路并模拟其行为。 模n计数器的Verilog实现可以通过使用计数变量和组合逻辑来完成。首先,我们需要定义一个递增的计数器变量,用于实现计数的功能。然后,我们使用一系列的if-else语句来检测当前计数的值,并根据需要将其递增或重。最后,我们可以使用一个输出端口来输出计数的结果。 具体来说,我们需要在Verilog代码中定义一个计数变量,例如count,作为模n计数器的主计数变量。然后,我们可以使用一个始终为1的时钟信号来驱动计数器的运行,并在每个时钟周期中更新计数变量。 例如,如果我们想要实现一个模8计数器,可以定义一个3位的计数变量,范围从0到7。然后,在时钟信号的上升沿时,我们可以通过在每个if-else语句中检测计数变量的值来实现递增和重。当计数变量为7时,我们将其重为0,否则将其递增。 最后,我们可以将计数变量的值输出到一个输出端口,以便在需要时可以使用它。这样,我们就能够实现一个可以在0到7之间循环计数的模8计数器。 总的来说,模n计数器是一种常见的数字电路设计方法,可以通过使用Verilog硬件描述语言来实现。通过定义计数变量,并利用组合逻辑来递增和重计数,我们可以实现一个具有周期性输出的模n计数器

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

好梦成真Kevin

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值