1、流水灯-FPGA---个人实验总结---

采用的是黑金公司的AX516 板子 

实验一  永远的流水灯

1、我的理解

    对于流水灯,两种理解方式:

    方式一:这个灯亮-延时-熄灭-下一个灯亮-延时-熄灭  这种在同一个主程序中的操作的思路----------即顺序操作

    方式二:各自的灯有自己的工作时许,各自互不干扰,只是在宏观上看来类似流水灯的样子---------即并行的操作


          

   上两个图 分别是 方式一和方式二



2、并行概念 开始编程

`timescale 1ns / 1ps
module led_test (   //各种接口  有输入 有输出
    clk, // : 50Mhz
    rst_n, // 
    led //  LED ,
);
input clk;  //定义时钟线
input rst_n; //定义复位信号
output [3:0] led; // 定义有三个输出的led 
reg [31:0] timer; //计时信号 
reg [3:0] led;  // 定义变量
always @(posedge clk or negedge rst_n) //


begin
	if (~rst_n) //
		timer <= 0; //
	else if (timer == 32'd199_999_999) // 50MHz 4s


		timer <= 0; // 4 
	else
		timer <= timer + 1'b1; // 1
end
always @(posedge clk or negedge rst_n) //
begin
if (~rst_n) //低电平点亮 
    led <= 4'b1111; //LED  LED 
else if (timer == 32'd49_999_999) // 1 
    led <= 4'b1110; //LED1 
else if (timer == 32'd99_999_999) // 2 
    led <= 4'b1101; //LED2 
else if (timer == 32'd149_999_999) // 3 
    led <= 4'b1011; //LED3 
else if (timer == 32'd199_999_999) // 4 
    led <= 4'b0111; //LED4 
endendmodule

3、对上面程序的理解

    两个always模块并行运行,一个对timer一直执行加法。  50Mhz - 1s    

led0 =1s*49999999/50000000=1s

led1=1s*99999999/50000000=2s

led2=1s*149999999/50000000=3s

led3=1s*199999999/50000000=4s   

 每次时间到了之后,全部都从新刷新led 四个灯的状态。 


  • 1
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

没有水杯和雨伞的工科男

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值