verilog-"10101"状态机序列检测器的设计

首先,画出状态转移图
在这里插入图片描述
代码:

module xulie10101 #(
	parameter S0 = 3'b000,//状态定义
	parameter S1 = 3'b001,
	parameter S2 = 3'b010,
	parameter S3 = 3'b011,
	parameter S4 = 3'b100
	)
	(
	input in,
	input clk,
	input reset,
	output out
	);
	
	input clk,reset,in;//输入输出
	output reg out;

	reg [2:0] state;
	reg [2:0] next_state;//寄存状态
	
	always @ (posedge clk)//状态切换
	if(reset) 
		state <= S0;
	else 
		state <= next_state;
	
	
	always @ (in or state)
	begin
		case(state)
		S0:
			begin
			if (in) 
				begin
				next_state <= S1;
				out = 1'b0;
				end
			else
			    begin
				next_state <= S0;
				out = 1'b0;
				end
		    end
		S1:begin
			if (in) begin
				next_state <= S1;
				out = 1'b0;
				end
			else begin
				next_state <= S2;
				out = 1'b0;
				end
		   end
		S2:begin
			if (in) begin 
				next_state <= S3;
				out = 1'b0;
				end
			else begin
				next_state <= S0;
				out = 1'b0;
				end
		   end
		S3:begin
			if (in) begin
				next_state <= S1;
				out = 1'b0;
				end
			else begin
				next_state <= S4;
				out = 1'b0;
				end
		   end
		S4:begin
			if (in) begin
				next_state <= S1;
				out = 1'b1;
				end
			else begin
				next_state <= S0;
				out = 1'b0;
				end
		   end
		endcase
	end
endmodule

测试代码:

module xulie10101_tb();
	wire out;
	wire state,next_state;
	reg in;
	reg clk,reset;
	
	initial 
	begin //time
		clk = 0;
		forever #50 clk = ~clk;
	 end
	
	initial
		begin
			in = 0;
			reset = 1;
			#200 reset = 0;
			
			#50 in = 1;#100 in = 0;#100 in = 1;
			#100 in = 0;#100 in = 1;#100 in = 0;
			#100 in = 1;#100 in = 1;#100 in = 1;
			#100 in = 1;#100 in = 0;#100 in = 1;
			#100 in = 1;#100 in = 1;#100 in = 1;
			#100 in = 1;#100 in = 0;#100 in = 1;
			#100 in = 0;#100 in = 1;#100 in = 0;
		end
	xulie10101 m( 	.in(in),
			        .out(out),
                    .clk(clk),
			        .reset(reset));
endmodule

仿真结果:
在这里插入图片描述

越是憧憬,越要风雨兼程

  • 12
    点赞
  • 85
    收藏
    觉得还不错? 一键收藏
  • 5
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 5
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值