AD 原理图网络未连上,设置DRC报错

AD原理图整理时,碰到一个网络没有连接,但是DRC检查没有提示有异常的情况,如下图,R7H右端并没有连上,然而原理图检查居然没有问题,在导入PCB时才报错,因为原理图DRC没有报错,想要找到问题,需要蛮多时间,细思极恐!在这里插入图片描述
AD其实是可以设置检查的,设置方法如下:
1,Project-》Project Options(最后一个选项)
在这里插入图片描述
2,Connection Matrix-》然后将最后一列都设置为Error,点击应用确认后,重新检查DRC,就会报错有提示。
在这里插入图片描述

  • 4
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
DRC (Design Rule Check) 是一种常见的电子设计自动化工具,用于检查电路设计是否符合预定义的规则和标准。当进行 PCB 设计时,可能会出现各种不同的 DRC 报错,下面是一些常见的问题和解决方法: 1. 路径限制:当信号路径的长度超出预设的最大阻抗限制时,DRC 报错。解决办法是调整线宽、间距或者增加阻抗匹配网络。 2. 短路:当两条信号线之间存在短路时,DRC 报错。解决办法是检查布线是否存在错误,调整线宽、间距或者增加隔离距离。 3. 缺陷连接:当某个器件或元件与其他部分失去连接时,DRC 报错。解决办法是检查错误的元件、引脚或连线,重新建立连接。 4. 过孔规则:当过孔的尺寸或布局不符合规则时,DRC 报错。解决办法是调整过孔尺寸、布局或者增加过孔覆铜。 5. 禁止元件:当设计中使用了禁用的元件时,DRC 报错。解决办法是查找并替换使用了禁用元件的部分。 6. 间距限制:DRC 报错可能是由于布线中的线宽、间距能满足预设的最小距离导致。解决办法是调整线宽、间距或者增加地平面层等措施。 7. 禁止添加:DRC 报错可能是由于禁用了某些布线层或过程层的使用导致。解决办法是检查设计要求,了解哪些层是禁止使用的,并进行相应的更改。 当出现 DRC 报错时,首先需要仔细阅读报错信息并理解具体的错误原因。然后,根据报错的具体情况进行相应的调整和更改。最后,重新运行 DRC 检查,确保问题已经解决。通过这些步骤,我们可以有效地解决 DRC 报错,提高电路设计的质量和可靠性。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值