SV---随机控制

1.随机序列

1)产生事务序列的另一个方法是使用SV的randsequence结构。这对于随机安排组织原子(atomic)测试序列很有帮助。

initial begin
	for (int i=0;i<15;i++) begin
		randsequence (steam)
			steam        : cfg_read := 1 | io_read := 2 | mem_read := 5;
			cfg_read : {cfg_read_task;} | {cfg_read_task;} cfg_read;
			io_read    : {io_read_tast;} | {io_read_tast;} io_read;
			mem_read  : {men_read_task;} | {men_read_task;} mem_read;
		endsequence
	end
end

2)可以使用randcase来建立随机决策树,但它带来的问题是没有变量可供追踪测试,

initial begin
	int len;
	randcase
		1	:	len = $urandom_range(0,2); //10% -> 0,1,2
		8	:	len = $urandom_range(3,5); //80% -> 3,4,5
		1	:	len = $urandom_range(6,7); //10% -> 6,7
	endcase
	$display ("len=%0d",len);
end

总结

1)randsequence和randcase是针对轻量级的随机控制的应用。而我们可以通过定义随机类取代上述随机控制的功能,并且由于类的继承性使得在后期维护代码时更加方便。

2)randsequence的相关功能我们在协调激励组件和测试用例时,可能会用到。

3)randcase则对应着随机约束中的dist权重约束加if-else条件约束的组合。

  • 1
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值