SystemVerilog随机控制和随机函数

一、随机序列

产生事务序列的另一个方法是使用SV的randsequence结构,这对于随机安排组织原子测试序列很有帮助。

initial begin
	for(int i = 0; i < 15; i++) begin
		randsequence(stream)
			stream: cfg_read := 1 | 		//权重分布
					io_read  := 2 | 
					mem_read := 5;
			cfg_read : {cfg_read_task;} | 
					   {cfg_read_task;} cfg_read;
			io_read :  {io_read_task;} | 
					   {io_read_task;}  io_read;
			mem_read : {mem_read_task;} | 
					   {mem_read_task;} mem_read;
		endsequence
	end
end
					   

还可以使用randcase来建立随机决策树,但是没有变量可供追踪调试。

initial begin
	int len;
	randcase
		1: len = $urandom_range(0,2);		// 10% : 0,1,2
		8: len = $urandom_range(3,5);		// 10% : 0,1,2
		1: len = $urandom_range(6,7);		// 10% : 0,1,2
	endcase
	$display("len=%0d", len);
end	

randsequencerandcase是针对轻量级的随机控制的应用,可以通过定义随机类取代上述随机控制的功能,并且由于类的继承性使得在后期维护代码时更加方便。randsequence的相关功能在协调激励组件测试用例时可能会用到,而randcase则对应着随机约束中的dist权重约束和if-else条件约束的组合。

二、随机函数

随机函数pre_randomize()post_randomize()
  • 有时需要在调用randomize()之前或之后立即执行一些操作,例如在随机前设置类例的一些随机变量(上下限、条件值、权重),或者在随机化之后需要计算随机数据的误差、分析和记录随机数据等。
  • 如果某个类中定义了pre_randomize()post_randomize()函数,那么对象在执行randomize()之前或之后会分别执行这两个函数。
随机数函数
  • SV提供了一些系统随机函数,可以调用来返回随机数值,如$random()$urandom()$random_range()
随机化个别变量
  • 在调用randomize()时可以传递变量的一个子集,这样只会随机化类里的几个变量。
  • 只有参数列表里的变量才会被随机化,其他变量会被当做状态变量而不会被随机化。
  • 所有的约束仍然保持有效。
  • 只针对类里所有被指定或者没有被指定rand的变量都可以作为randomize()的参数而被随机化。
  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值