java示波器程序_Verilog实现示波器

这个实例展示了如何使用Xilinx Vivado工具在Digilent Basys3开发板上开发一个基于Verilog的示波器程序。工程包含多个模块如时钟控制、触发器、VGA初始化等,通过综合、布线和生成bit文件实现FPGA内部功能。
摘要由CSDN通过智能技术生成

【实例简介】

基于Xilinx vivado工具开发。运行平台:Digilent Basys3开发板,运行tcl文件即可完成工程的综合、布线、bit生成。

【实例截图】

【核心代码】

e9753f16-37fb-4520-89c5-646921664743

└── Oscilloscope

├── readme.txt

├── Ready_for_download

│   └── B3_OSC_download.bit

└── Src

├── Constraint

│   └── oscilloscope.xdc

├── HDL_source

│   ├── char_rom_mapping.v

│   ├── clock

│   │   └── clock.xci

│   ├── clock_control.v

│   ├── debounce_0

│   │   ├── debounce_0.dcp

│   │   ├── debounce_0_funcsim.v

│   │   ├── debounce_0_funcsim.vhdl

│   │   ├── debounce_0_stub.v

│   │   ├── debounce_0_stub.vhdl

│   │   ├── debounce_0.veo

│   │   ├── debounce_0.xci

│   │   ├── debounce_0.xml

│   │   ├── sim

│   │   │   └── debounce_0.v

│   │   ├── sim_1

│   │   │   └── new

│   │   │   └── debounce_tb.v

│   │   ├── sources_1

│   │   │   └── new

│   │   │   └── debounce.v

│   │   └── synth

│   │   └── debounce_0.v

│   ├── Fre_Calculate.v

│   ├── Fre_Vopp_mapping_rom.v

│   ├── IP_Catalog

│   │   ├── XUP_debounce_1.0

│   │   │   ├── component.xml

│   │   │   ├── sim_1

│   │   │   │   └── new

│   │   │   │   └── debounce_tb.v

│   │   │   ├── sources_1

│   │   │   │   └── new

│   │   │   │   └── debounce.v

│   │   │   └── xgui

│   │   │   └── debounce_v1_0.tcl

│   │   ├── XUP_debounce_1.0.zip

│   │   ├── XUP_vga_1.0

│   │   │   ├── component.xml

│   │   │   ├── vga.v

│   │   │   └── xgui

│   │   │   └── vga_v1_0.tcl

│   │   ├── XUP_vga_1.0.zip

│   │   ├── XUP_xadc_1.0

│   │   │   ├── component.xml

│   │   │   ├── ip

│   │   │   │   └── xadc_wiz_0

│   │   │   │   └── xadc_wiz_0.xci

│   │   │   ├── new

│   │   │   │   └── xadc.v

│   │   │   └── xgui

│   │   │   └── xadc_v1_0.tcl

│   │   └── XUP_xadc_1.0.zip

│   ├── OSC_top.v

│   ├── trigger.v

│   ├── vga_0

│   │   ├── sim

│   │   │   └── vga_0.v

│   │   ├── synth

│   │   │   └── vga_0.v

│   │   ├── vga_0.dcp

│   │   ├── vga_0_funcsim.v

│   │   ├── vga_0_funcsim.vhdl

│   │   ├── vga_0_stub.v

│   │   ├── vga_0_stub.vhdl

│   │   ├── vga_0.veo

│   │   ├── vga_0.xci

│   │   ├── vga_0.xml

│   │   └── vga.v

│   ├── vga_initials.v

│   ├── waveform_mapping_rom.v

│   ├── waveform_ram.v

│   └── xadc_0

│   ├── ip

│   │   └── xadc_wiz_0

│   │   ├── xadc_wiz_0

│   │   │   └── simulation

│   │   │   ├── functional

│   │   │   │   └── design.txt

│   │   │   └── timing

│   │   │   └── design.txt

│   │   ├── xadc_wiz_0_ooc.xdc

│   │   ├── xadc_wiz_0.v

│   │   ├── xadc_wiz_0.xci

│   │   ├── xadc_wiz_0.xdc

│   │   └── xadc_wiz_0.xml

│   ├── new

│   │   └── xadc.v

│   ├── sim

│   │   └── xadc_0.v

│   ├── synth

│   │   └── xadc_0.v

│   ├── xadc_0.dcp

│   ├── xadc_0_funcsim.v

│   ├── xadc_0_funcsim.vhdl

│   ├── xadc_0_stub.v

│   ├── xadc_0_stub.vhdl

│   ├── xadc_0.veo

│   ├── xadc_0.xci

│   └── xadc_0.xml

├── prj

│   ├── Oscilloscope.cache

│   │   └── wt

│   │   ├── java_command_handlers.wdf

│   │   ├── synthesis_details.wdf

│   │   ├── synthesis.wdf

│   │   └── webtalk_pa.xml

│   ├── Oscilloscope.runs

│   │   ├── clock_synth_1

│   │   │   ├── clock.dcp

│   │   │   ├── clock.tcl

│   │   │   ├── clock_utilization_synth.pb

│   │   │   ├── clock_utilization_synth.rpt

│   │   │   ├── clock.vds

│   │   │   ├── dont_touch.xdc

│   │   │   ├── gen_run.xml

│   │   │   ├── htr.txt

│   │   │   ├── ISEWrap.js

│   │   │   ├── ISEWrap.sh

│   │   │   ├── rundef.js

│   │   │   ├── runme.bat

│   │   │   ├── runme.log

│   │   │   ├── runme.sh

│   │   │   ├── vivado.jou

│   │   │   └── vivado.pb

│   │   ├── impl_1

│   │   │   ├── gen_run.xml

│   │   │   ├── htr.txt

│   │   │   ├── init_design.pb

│   │   │   ├── ISEWrap.js

│   │   │   ├── ISEWrap.sh

│   │   │   ├── opt_design.pb

│   │   │   ├── OSC_top.bit

│   │   │   ├── OSC_top_clock_utilization_placed.rpt

│   │   │   ├── OSC_top_control_sets_placed.rpt

│   │   │   ├── OSC_top_drc_opted.rpt

│   │   │   ├── OSC_top_drc_routed.pb

│   │   │   ├── OSC_top_drc_routed.rpt

│   │   │   ├── OSC_top_io_placed.rpt

│   │   │   ├── OSC_top_opt.dcp

│   │   │   ├── OSC_top_placed.dcp

│   │   │   ├── OSC_top_power_routed.rpt

│   │   │   ├── OSC_top_power_summary_routed.pb

│   │   │   ├── OSC_top_routed.dcp

│   │   │   ├── OSC_top_route_status.pb

│   │   │   ├── OSC_top_route_status.rpt

│   │   │   ├── OSC_top.tcl

│   │   │   ├── OSC_top_timing_summary_routed.rpt

│   │   │   ├── OSC_top_timing_summary_routed.rpx

│   │   │   ├── OSC_top_utilization_placed.pb

│   │   │   ├── OSC_top_utilization_placed.rpt

│   │   │   ├── OSC_top.vdi

│   │   │   ├── place_design.pb

│   │   │   ├── project.wdf

│   │   │   ├── route_design.pb

│   │   │   ├── rundef.js

│   │   │   ├── runme.bat

│   │   │   ├── runme.log

│   │   │   ├── runme.sh

│   │   │   ├── usage_statistics_webtalk.html

│   │   │   ├── usage_statistics_webtalk.xml

│   │   │   ├── vivado.jou

│   │   │   ├── vivado.pb

│   │   │   └── write_bitstream.pb

│   │   └── synth_1

│   │   ├── dont_touch.xdc

│   │   ├── gen_run.xml

│   │   ├── htr.txt

│   │   ├── ISEWrap.js

│   │   ├── ISEWrap.sh

│   │   ├── OSC_top.dcp

│   │   ├── OSC_top.tcl

│   │   ├── OSC_top_utilization_synth.pb

│   │   ├── OSC_top_utilization_synth.rpt

│   │   ├── OSC_top.vds

│   │   ├── project.wdf

│   │   ├── rundef.js

│   │   ├── runme.bat

│   │   ├── runme.log

│   │   ├── runme.sh

│   │   ├── vivado.jou

│   │   └── vivado.pb

│   ├── Oscilloscope.srcs

│   │   ├── constrs_1

│   │   │   └── imports

│   │   │   └── Constraint

│   │   │   └── oscilloscope.xdc

│   │   └── sources_1

│   │   ├── imports

│   │   │   └── HDL_source

│   │   │   ├── char_rom_mapping.v

│   │   │   ├── clock_control.v

│   │   │   ├── Fre_Calculate.v

│   │   │   ├── Fre_Vopp_mapping_rom.v

│   │   │   ├── OSC_top.v

│   │   │   ├── trigger.v

│   │   │   ├── vga_initials.v

│   │   │   ├── waveform_mapping_rom.v

│   │   │   └── waveform_ram.v

│   │   └── ip

│   │   ├── clock

│   │   │   ├── clock_board.xdc

│   │   │   ├── clock_clk_wiz.v

│   │   │   ├── clock.dcp

│   │   │   ├── clock_funcsim.v

│   │   │   ├── clock_funcsim.vhdl

│   │   │   ├── clock_ooc.xdc

│   │   │   ├── clock_stub.v

│   │   │   ├── clock_stub.vhdl

│   │   │   ├── clock.v

│   │   │   ├── clock.xci

│   │   │   ├── clock.xdc

│   │   │   └── clock.xml

│   │   ├── debounce_0

│   │   │   ├── debounce_0.dcp

│   │   │   ├── debounce_0_funcsim.v

│   │   │   ├── debounce_0_funcsim.vhdl

│   │   │   ├── debounce_0_stub.v

│   │   │   ├── debounce_0_stub.vhdl

│   │   │   ├── debounce_0.veo

│   │   │   ├── debounce_0.xci

│   │   │   ├── debounce_0.xml

│   │   │   ├── sim

│   │   │   │   └── debounce_0.v

│   │   │   ├── sim_1

│   │   │   │   └── new

│   │   │   │   └── debounce_tb.v

│   │   │   ├── sources_1

│   │   │   │   └── new

│   │   │   │   └── debounce.v

│   │   │   └── synth

│   │   │   └── debounce_0.v

│   │   ├── vga_0

│   │   │   ├── sim

│   │   │   │   └── vga_0.v

│   │   │   ├── synth

│   │   │   │   └── vga_0.v

│   │   │   ├── vga_0.dcp

│   │   │   ├── vga_0_funcsim.v

│   │   │   ├── vga_0_funcsim.vhdl

│   │   │   ├── vga_0_stub.v

│   │   │   ├── vga_0_stub.vhdl

│   │   │   ├── vga_0.veo

│   │   │   ├── vga_0.xci

│   │   │   ├── vga_0.xml

│   │   │   └── vga.v

│   │   └── xadc_0

│   │   ├── ip

│   │   │   └── xadc_wiz_0

│   │   │   ├── xadc_wiz_0

│   │   │   │   └── simulation

│   │   │   │   ├── functional

│   │   │   │   │   └── design.txt

│   │   │   │   └── timing

│   │   │   │   └── design.txt

│   │   │   ├── xadc_wiz_0_ooc.xdc

│   │   │   ├── xadc_wiz_0.v

│   │   │   ├── xadc_wiz_0.xci

│   │   │   ├── xadc_wiz_0.xdc

│   │   │   └── xadc_wiz_0.xml

│   │   ├── new

│   │   │   └── xadc.v

│   │   ├── sim

│   │   │   └── xadc_0.v

│   │   ├── synth

│   │   │   └── xadc_0.v

│   │   ├── xadc_0.dcp

│   │   ├── xadc_0_funcsim.v

│   │   ├── xadc_0_funcsim.vhdl

│   │   ├── xadc_0_stub.v

│   │   ├── xadc_0_stub.vhdl

│   │   ├── xadc_0.veo

│   │   ├── xadc_0.xci

│   │   └── xadc_0.xml

│   └── Oscilloscope.xpr

└── Tcl

└── Oscilloscope.tcl

77 directories, 208 files

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值