testbench文件显示波形_Testbench仿真方法1:在Quartus下基于Modelsim的仿真流程

这篇博客介绍了如何在Quartus环境下利用Modelsim进行Testbench仿真,包括设置硬件描述语言和仿真时间尺度,自动生成及设置Testbench文件,解决找不到Modelsim路径的问题,以及在Modelsim中进行仿真操作和查看波形的快捷键。在仿真过程中,还讲解了如何添加新信号并重新获取仿真波形。
摘要由CSDN通过智能技术生成

3f9ee674cf88121a0f460ef18a74ae1d.png

仿真流程

  • 正常通过硬件描述语言编写逻辑,并且编译通过;
  • 选择Assignment-Settings,设置硬件描述语言和仿真时间尺度。

d81fde929673f35bfa66149b25d7b431.png
  • 选择Processing-Start-Start Test Bench Template Writer自动生成Test bench文件,基于verilog语言生成的testbench文件为.vt。testbench文件模块没有输入输出,将原有模块的输入定义为reg型变量,输出定义为wire型变量。生成initial和always两个过程狂块,其中initial过程生成按时间产生的信号,例如各种触发信号。always块生成持续信号,例如时钟。
  • 设置testbench文件。

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值