0551-基于单片机的洗鞋机系统设计

本文详细描述了一种采用51单片机控制的鞋刷清洗机,涉及电机驱动、排水、脱水和烘干流程,以及电路设计中的AltiumDesigner工具和单片机接口。主程序设计中展示了如何通过定时器控制各个阶段的执行,并利用Proteus进行仿真。
摘要由CSDN通过智能技术生成

功能描述

1、采用51单片机作为主控;
2、采用数码管显示倒计时;
3、工作流程:洗刷-排水-脱水-烘干;

  • 1)洗刷:大电机转动(正反转)带动中心柱转,从而带动鞋子转动,同时小电机转动带动中心柱上齿轮转动,带动支撑件上下移动;
  • 2)排水:控制电磁阀打开排水;
  • 3)脱水:中心柱再转起来,给鞋子脱水;
  • 4)烘干:启动风扇、加热器。

4、流程完成后,蜂鸣器声音提醒;

电路设计

采用Altium Designer作为电路设计工具。Altium Designer通过把原理图设计、PCB绘制编辑、拓扑逻辑自动布线、信号完整性分析和设计输出等技术的完美融合,为设计者提供了全新的设计解决方案,使设计者可以轻松进行设计,熟练使用这一软件必将使电路设计的质量和效率大大提高。

单片机管脚说明:

P0端口(P0.0-P0.7):P0口为一个8位漏极开路双向I/O口,每个引脚可吸收8TTL门电流。当P1口的管脚第一次写1时,被定义为高阻输入。P0能够用于外部程序数据存储器,它可以被定义为数据/地址的第八位。在FIASH编程时,P0 口作为原码输入口,当FIASH进行校验时,P0输出原码,此时P0外部必须被拉高。

P1端口(P1.0-P1.7):P1口是一个内部提供上拉电阻的8位双向I/O口,P1口缓冲器能接收输出4TTL门电流。P1口管脚写入1后,被内部上拉为高电平,可用作输入,P1口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。在FLASH编程和校验时,P1口作为第八位地址接收。

P2端口(P2.0-P2.7):P2口为一个内部上拉电阻的8位双向I/O口,P2口缓冲器可接收,输出4个TTL门电流,当P2口被写“1”时,其管脚被内部上拉电阻拉高,且作为输入。并因此作为输入时,P2口的管脚被外部拉低,将输出电流。这是由于内部上拉的缘故。P2口,用于外部程序存储器或16位地址外部数据存储器进行存取时,P2口输出地址的高八位。在给出地址“1”时,它利用内部上拉优势,当对外部八位地址数据存储器进行读写时,P2口输出其特殊功能寄存器的内容。P2口在FLASH编程和校验时接收高八位地址信号和控制信号。

P3端口(P3.0-P3.7):P3口管脚是一个带有内部上拉电阻的8位的双向I/O端口,可接收输出4个TTL门电流。当P3口写入“1”后,它们被内部上拉为高电平,并用作输入。作为输入端时,由于外部下拉为低电平,P3口将输出电流(ILL)。P3口同时为闪烁编程和编程校验接收一些控制信号。

仿真设计

采用Proteus作为仿真设计工具。Proteus是一款著名的EDA工具(仿真软件),从原理图布图、代码调试到单片机与外围电路协同仿真,一键切换到PCB设计,真正实现了从概念到产品的完整设计。

主程序设计

void main()
{
	uchar i=0,k=0;
	sec=fen_set*60+miao_set;
	sec=sec/10;//时间拆分成10份
	miao=miao_set;
	fen=fen_set;
	TMOD|=0X01;//初始化定时器
	TH0=0X3C;
	TL0=0XB0;	
	ET0=1;//打开定时器0中断允许
	EA=1;//打开总中断
	TR0=1;//打开定时器
	while(1)
	{
		//启动
		if(!k1)
		{
			if(mode==0)
				mode=1;
			if(mode==5)
				mode=bei;
			led5=1;
		} 
		//停止
		if(!k2)
		{
			mode=0;led5=1;
		}
		//暂停
		if(!k3 &&(k!=3))
		{
			k=3;
			if((mode>0)&&(mode<5))
			{
			bei=mode;
			mode=5;
			led5=0;
			led1=1;
			led2=1;
			led3=1;
			led4=1;
			out1=1;
			out2=1;
			out3=1;
			out4=1;
			out5=1;
			out6=1;
			out7=1;
			}
			else if(mode==5)
			{
				mode=bei;
				led5=1;
			}
		}
		if(k3)
			k=0;
		//电机调速
		if(i<10)
			i++;
		else
		{
			i=0;
		}
		if(mode==1)
		{
		if(flag==0)//洗刷
		{
			out2=1;
			if(i<4)
				out1=0;
			else
				out1=1;
		}
		else
		{
			out1=1;
			if(i<4)
				out2=0;
			else
				out2=1;
		}
		}
		if(mode==3)//脱水
		{
			out1=0;
			out2=1;
		}
		//显示
		P0=smgduan0[fen/10];
		smg1=0;
		delay(30);
		smg1=1;
		P0=smgduan1[fen%10];
		smg2=0;
		delay(30);
		smg2=1;
		P0=smgduan0[miao/10];
		smg3=0;
		delay(30);
		smg3=1;
		P0=smgduan0[miao%10];
		smg4=0;
		delay(30);
		smg4=1;
	}
}

源文件获取

关注公众号-电子开发圈,首页发送 “清洁” 获取;👇👇👇

  • 25
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

电子开发圈

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值