c语言加法器程序代码,利用EDA设计加法器和减法器并且附有程序代码的实验报告...

满意答案

00e27ab806e4881f8254fe7ae8741834.png

q316281484

2013.12.31

00e27ab806e4881f8254fe7ae8741834.png

采纳率:48%    等级:12

已帮助:35577人

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity full is

port(cin:in std_logic;

a,b:in std_logic_vecter(7downto 0);

s :out std_logic_vecter(7downto 0);

cout:out std_logic

);

end full;

architecture beh of full is

signal sint:std_logic_vector(8 downto 0);

signal aa,bb:std_logic_vector(8 downto 0);

begin

aa<='0'&a(7downto 0);

bb<='0'&b(7downto 0);

sint<=aa+bb+cin;

s(7 downto 0)<=sint(7 downto 0):

cout<=sint(4);

end a;

这个是8位加法器

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity jfq is

port(sub:in std_logic;

a,b:in std_logic_vector(3 downto 0);

s :out std_logic_vector(3 downto 0);

cout:out std_logic

);

end jfq;

architecture beh of jfq is

signal a1,a2,a3:std_logic(3 downto 0);

begin

a1<='0'&a(3 downto 0);

a2<='0'&b(3 downto 0);

a3<=a1-a2-sub;

s<=a3(3 downto 0);

cout<=a3(3 downto 0);

end beh;

这个是4未减法器

00分享举报

  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值