FPGA实现Sobel边缘检测算法

传统Sobel算法实现主要分为两步:一是图像窗口像素的生成,二是梯度的计算。算法的具体实现步骤可参考https://blog.csdn.net/baidu_34971492/article/details/99976686。这里主要分享一下本人遇到的一点小问题:
(1)按照参考例程,平方根器的输入radical会一直是蓝线(高阻态);解决办法:将pa_xpa_x + pa_ypa_y单独拿出来计算并赋值给中间信号i_radical,将其作为平方根器的输入,之后蓝线就会消失。
(2)对于有符号数的计算,需要用signed进行声明或者使用专用的乘法器来实现;如果不对pa_x或pa_y进行signed标注声明,则计算结果i_radical也会是错误的值。

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值