Allegro16.6 导入网表报错 #1 ERROR(24) File not found Packager files not found

先指定做好的封装路径,使封装与下一步导入的网表映射上👇在这里插入图片描述
然后指定导入原理图路径👇
file👉import👉logic
在这里插入图片描述
再导入就不会报错了。

##.dra封装文件产生.psm .pad步骤👇
用PCB Editor打开一个.dra文件,file👉Export👉libraries
在这里插入图片描述
如图勾选,路径选择存放产生.psm .pad的文件,统一存放即可。
导出完成点击Close,再保存,这样在指定路径下就产生好.psm和.pad文件了在这里插入图片描述

##第三方网表需要的device产生方法👇
file👉Create device 点击OK就好了,会在打开的.dra文件路径下产生一个.txt文件,这个就是setup里用户参数devpath所需要指定的.device文件。

  • 5
    点赞
  • 31
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
根据引用\[1\]和引用\[2\]的内容,当出现"allegro command not found"的错误时,可以尝试以下解决方法: 1. 确保你的软件版本是cadence16.3和pads9.4.1,因为这个方法是在这两个版本上测试过的。 2. 确保你按照论坛中提供的步骤进行操作,包括设置系统变量AEX_BIN_ROOT的值为你的pads安装路径下的相应文件夹。 3. 确保你在正确的路径下打开了要转换的brd文件。 4. 当输入"skill load "dfl_main.il""时,要手动输入双引号,因为有时候双引号会被误认为是单引号的组合。 如果你仍然遇到"allegro command not found"的错误,建议你参考论坛中其他用户的解决方案或者咨询cadence的技术支持团队以获取更详细的帮助。 #### 引用[.reference_title] - *1* *2* [allegro转pads(使用allegro 16.3和pads9.3.1)](https://blog.csdn.net/ee230/article/details/46468437)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^control_2,239^v3^insert_chatgpt"}} ] [.reference_item] - *3* [allegro设计常见问题总结](https://blog.csdn.net/bo2016000/article/details/127724747)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^control_2,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值