STM32基于HAL工程读取DHT11/DHT22/AM2302/AM2301

STM32基于STM32-HAL工程读取DHT11/DHT22/AM2302/AM2301


  • ✨申明:本文章仅发表在CSDN网站,任何其他网站,未注明来源,见此内容均为盗链和爬取,请多多尊重和支持原创!
  • 🍁对于文中所提供的相关资源链接将作不定期更换。
  • 📍DHT11/DHT22 HAL外设驱动文件 来源:https://github.com/quen0n/DHT11-DHT22-STM32-HAL

✨以下是对开源库内容的翻译,俄语翻译中文。如果能看的懂俄语,就直接去看原文。

  • 🔖工程只使用了DHT11验证了使用该库可以正常读取到数据,至于其他传感器没有做测试。
    在这里插入图片描述

⛳驱动库介绍

  • ✨使用HAL的STM32驱动DHT22 / DHT2302 / AM2301 / AM32传感器的最佳简单库。

📓功能说明

  • 🌿DHT11/DHT22/AM2302/AM2301 传感器支持
  • 🌿自动校验和验证
  • 🌿无需定时器或中断
  • 🌿能够轮询多个传感器
  • 🌿DHT11 的自动 0.5KHz 轮询速率控制和 DHT2 的 1KHz 轮询速率控制,能够打开内部上拉电阻(无需捆绑即可与传感器配合使用)

✅使用方法

  • 🔰在 STM32CubeMX 中配置项目。配置传感器连接到的端口以进行输入,生成项目。
  • ⚡将两者复制到项目文件夹并相应地复制。如有必要,请将文件连接到 IDE。DHT.hDHT.c分别拷贝到 Inc文件夹 和Src文件夹下。
  • 🌴创建一个类型变量,指定端口、传感器类型,
  • 🍁如果传感器没有外部上拉电路,则启用上拉。DHT11_sensor
    在这里插入图片描述

若要从传感器检索数据,请通过在附件中指定传感器变量进行调用。DHT_getData()

  • 🌿 示例:DHT11 传感器输出至 UART,无需小数部分
#include "DHT.h"
#include <stdio.h>
#include <string.h>
...
int main(void) {
  ...
  //Создание объекта дачика DHT11, подключенного к PB4, подтяжка линии данных выключена  
  static DHT_sensor livingRoom = {GPIOB, GPIO_PIN_4, DHT11, GPIO_NOPULL};
  ...
  while(1) {
    //Буффер для печати текста
    char msg[40]; 
    //Получение данных с датчика
    DHT_data d = DHT_getData(&livingRoom); 
    //Печать данных в буффер
    sprintf(msg, "\fLiving room: Temp %d°C, Hum %d%%", (uint8_t)d.temp, (uint8_t)d.hum);
    //Отправка текста в UART
    HAL_UART_Transmit(&huart2, (uint8_t*)msg, strlen(msg), 0xFF);
  }
}
  • 🌿示例:DHT22传感器数据输出到UART,带软件数据线拧紧
#include "DHT.h"
#include <stdio.h>
#include <string.h>
...
int main(void) {
  ...
  //Создание объекта дачика DHT22, подключенного к PC1, подтяжка линии данных включена  
  static DHT_sensor bedRoom = {GPIOC, GPIO_PIN_1, DHT22, GPIO_PULLUP};
  ...
  while(1) {
    //Буффер для печати текста
    char msg[40]; 
    //Получение данных с датчика
    DHT_data d = DHT_getData(&bedRoom); 
    //Печать данных в буффер
    sprintf(msg, "\fBed room: Temp %2.1f°C, Hum %2.1f%%", d.temp, d.hum);
    //Отправка текста в UART
    HAL_UART_Transmit(&huart2, (uint8_t*)msg, strlen(msg), 0xFF);
  }
}
  • 🌿示例:将 DHT11 和 DHT22 传感器数据输出到 UART
#include "DHT.h"
#include <stdio.h>
#include <string.h>
...
int main(void) {
  ...
  DHT_sensor livingRoom = {GPIOB, GPIO_PIN_4, DHT11, GPIO_NOPULL};
  DHT_sensor bedRoom = {GPIOC, GPIO_PIN_1, DHT22, GPIO_PULLUP};
  ...
  while(1) {
    //Буффер для печати текста
    char msg[40];
    //Получение данных с датчика DHT11
    DHT_data d = DHT_getData(&livingRoom);
    //Печать данных в буффер
    sprintf(msg, "\fLiving room: Temp %d°C, Hum %d%%\r\n", (uint8_t)d.temp, (uint8_t)d.hum);
    //Отправка текста в UART
    HAL_UART_Transmit(&huart2, (uint8_t*)msg, strlen(msg), 0xFF);
    //Получение данных с датчика DHT22
    d = DHT_getData(&bedRoom);
    //Печать данных в буффер
    sprintf(msg, "Bed room: Temp %2.1f°C, Hum %2.1f%%", d.temp, d.hum);
    //Отправка текста в UART
    HAL_UART_Transmit(&huart2, (uint8_t*)msg, strlen(msg), 0xFF);
  }
}
  • 为什么以及何时需要启用数据线提升?
    答:MCU 和 DHT11/DHT22 端口在开路模式下运行,因此数据线需要电源提升。如果您使用的是没有任何捆绑带的裸传感器,则通过指定GPIO_PULLUP打开微控制器的内部上拉电阻。

🛠STM32基于STM32CubeMX配置工程

  • 🔰只需配置一个串口即可。
    在这里插入图片描述
  • 🔧usart.c文件中添加printf重映射,并在Keil设置中勾选MicroLib选项。
#include "stdio.h"
/*可调用printf*/
int fputc(int ch,FILE *f)
{
    /*&huart1指的是串口1,如果用别的串口就修改数字*/
    HAL_UART_Transmit(&huart1 , (uint8_t *)&ch , 1 , 1000);
    return ch;
}


  • 📝main主程序代码
/* USER CODE BEGIN Header */
/**
  ******************************************************************************
  * @file           : main.c
  * @brief          : Main program body
  ******************************************************************************
  * @attention
  *
  * Copyright (c) 2023 STMicroelectronics.
  * All rights reserved.
  *
  * This software is licensed under terms that can be found in the LICENSE file
  * in the root directory of this software component.
  * If no LICENSE file comes with this software, it is provided AS-IS.
  *
  ******************************************************************************
  */
/* USER CODE END Header */
/* Includes ------------------------------------------------------------------*/
#include "main.h"
#include "usart.h"
#include "gpio.h"

/* Private includes ----------------------------------------------------------*/
/* USER CODE BEGIN Includes */
#include "stdio.h"
//#include "dht11.h"
#include "dht.h"
#include "DHT.h"

#include <string.h>
/* USER CODE END Includes */

/* Private typedef -----------------------------------------------------------*/
/* USER CODE BEGIN PTD */

/* USER CODE END PTD */

/* Private define ------------------------------------------------------------*/
/* USER CODE BEGIN PD */
/* USER CODE END PD */

/* Private macro -------------------------------------------------------------*/
/* USER CODE BEGIN PM */

/* USER CODE END PM */

/* Private variables ---------------------------------------------------------*/

/* USER CODE BEGIN PV */

/* USER CODE END PV */

/* Private function prototypes -----------------------------------------------*/
void SystemClock_Config(void);
/* USER CODE BEGIN PFP */

/* USER CODE END PFP */

/* Private user code ---------------------------------------------------------*/
/* USER CODE BEGIN 0 */

/* USER CODE END 0 */

/**
  * @brief  The application entry point.
  * @retval int
  */
int main(void)
{
    /* USER CODE BEGIN 1 */

//    char msg[40];
    /* USER CODE END 1 */

    /* MCU Configuration--------------------------------------------------------*/

    /* Reset of all peripherals, Initializes the Flash interface and the Systick. */
    HAL_Init();

    /* USER CODE BEGIN Init */

    /* USER CODE END Init */

    /* Configure the system clock */
    SystemClock_Config();

    /* USER CODE BEGIN SysInit */

    /* USER CODE END SysInit */

    /* Initialize all configured peripherals */
    MX_GPIO_Init();
    MX_USART1_UART_Init();
    /* USER CODE BEGIN 2 */
    uint32_t TimerUART = HAL_GetTick();

    static DHT_sensor livingRoom = {GPIOA, GPIO_PIN_8, DHT11, GPIO_NOPULL};//指定DHT数据引脚
    /* USER CODE END 2 */

    /* Infinite loop */
    /* USER CODE BEGIN WHILE */
    while (1)
    {
        /* USER CODE END WHILE */

        /* USER CODE BEGIN 3 */
        if ((HAL_GetTick() - TimerUART) > 1000)
        {
            
            DHT_data d = DHT_getData(&livingRoom);//传感器数据接收
            //缓冲数据打印
            //    sprintf(msg, "\fLiving room: Temp %d°C, Hum %d%%", (uint8_t)d.temp, (uint8_t)d.hum);
            //将文本发送到UART
            //    HAL_UART_Transmit(&huart1, (uint8_t *)msg, strlen(msg), 0xFF);
            printf("Temp:%d°C, Hum %d%% \r\n", (uint8_t)d.temp, (uint8_t)d.hum);
            TimerUART = HAL_GetTick();
            HAL_GPIO_TogglePin(GPIOE, LED_Pin);
        }


    }
    /* USER CODE END 3 */
}

/**
  * @brief System Clock Configuration
  * @retval None
  */
void SystemClock_Config(void)
{
    RCC_OscInitTypeDef RCC_OscInitStruct = {0};
    RCC_ClkInitTypeDef RCC_ClkInitStruct = {0};

    /** Initializes the RCC Oscillators according to the specified parameters
    * in the RCC_OscInitTypeDef structure.
    */
    RCC_OscInitStruct.OscillatorType = RCC_OSCILLATORTYPE_HSE;
    RCC_OscInitStruct.HSEState = RCC_HSE_ON;
    RCC_OscInitStruct.HSEPredivValue = RCC_HSE_PREDIV_DIV1;
    RCC_OscInitStruct.HSIState = RCC_HSI_ON;
    RCC_OscInitStruct.PLL.PLLState = RCC_PLL_ON;
    RCC_OscInitStruct.PLL.PLLSource = RCC_PLLSOURCE_HSE;
    RCC_OscInitStruct.PLL.PLLMUL = RCC_PLL_MUL9;
    if (HAL_RCC_OscConfig(&RCC_OscInitStruct) != HAL_OK)
    {
        Error_Handler();
    }

    /** Initializes the CPU, AHB and APB buses clocks
    */
    RCC_ClkInitStruct.ClockType = RCC_CLOCKTYPE_HCLK | RCC_CLOCKTYPE_SYSCLK
                                  | RCC_CLOCKTYPE_PCLK1 | RCC_CLOCKTYPE_PCLK2;
    RCC_ClkInitStruct.SYSCLKSource = RCC_SYSCLKSOURCE_PLLCLK;
    RCC_ClkInitStruct.AHBCLKDivider = RCC_SYSCLK_DIV1;
    RCC_ClkInitStruct.APB1CLKDivider = RCC_HCLK_DIV2;
    RCC_ClkInitStruct.APB2CLKDivider = RCC_HCLK_DIV1;

    if (HAL_RCC_ClockConfig(&RCC_ClkInitStruct, FLASH_LATENCY_2) != HAL_OK)
    {
        Error_Handler();
    }
}

/* USER CODE BEGIN 4 */

/* USER CODE END 4 */

/**
  * @brief  This function is executed in case of error occurrence.
  * @retval None
  */
void Error_Handler(void)
{
    /* USER CODE BEGIN Error_Handler_Debug */
    /* User can add his own implementation to report the HAL error return state */
    __disable_irq();
    while (1)
    {
    }
    /* USER CODE END Error_Handler_Debug */
}

#ifdef  USE_FULL_ASSERT
/**
  * @brief  Reports the name of the source file and the source line number
  *         where the assert_param error has occurred.
  * @param  file: pointer to the source file name
  * @param  line: assert_param error line source number
  * @retval None
  */
void assert_failed(uint8_t *file, uint32_t line)
{
    /* USER CODE BEGIN 6 */
    /* User can add his own implementation to report the file name and line number,
       ex: printf("Wrong parameters value: file %s on line %d\r\n", file, line) */
    /* USER CODE END 6 */
}
#endif /* USE_FULL_ASSERT */

📚工程源码

  • ✨申明:本文章仅发表在CSDN网站,任何其他网站,未注明来源,见此内容均为盗链和爬取,请多多尊重和支持原创!
  • 🍁对于文中所提供的相关资源链接将作不定期更换。

链接: https://pan.baidu.com/s/1mg5vlwe8ymY8Ism2MRDE-w
提取码: qxxe
  • 2
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
Hal库是一个用于嵌入式系统的硬件抽象层库,而DHT11是一款数字温湿度传感器。当我们使用Hal库来集成DHT11传感器时,可以实现方便的温湿度监测功能。 首先,我们需要连接DHT11传感器到嵌入式系统中。DHT11传感器通常有三个引脚:VCC(电源正极)、GND(电源负极)和DATA(数据引脚)。我们需要确保正确地连接传感器引脚到系统的相应引脚上。 接下来,我们可以使用Hal库提供的函数来读取DHT11传感器的数据。Hal库通常提供了一组用于与不同类型传感器通信的API函数,这些函数可以在软件层面上与传感器进行交互。为了使用DHT11传感器,我们需要调用Hal库提供的函数来读取传感器的温度和湿度数据。 在调用这些函数之前,我们需要初始化Hal库来确保与传感器的良好通信。初始化可能涉及到配置传感器引脚的输入输出模式,设置传感器的采样精度和其他相关参数。 一旦初始化完成,我们就可以调用Hal库中读取DHT11传感器数据的函数。这些函数通常使用数据采集协议来和传感器通信,并根据传感器返回的数据格式解析出温度和湿度值。 最后,我们可以通过将读取到的温度和湿度数据传输到其他系统模块或进行数据处理等操作来实现更具体的功能,比如数据可视化、自动控制等。 总之,使用Hal库和DHT11传感器可以快速实现温湿度监测功能,只需连接传感器、初始化Hal库并调用相应的函数即可获取传感器数据。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值