FPGA第一个程序入门

本文介绍了如何使用Vivado软件进行FPGA程序设计,包括新建工程,选择XC7A35TFPGA器件,编写Verilog代码控制LED闪烁,创建约束文件,实现设计并生成比特流,最后将比特流下载到正点原子达芬奇开发板中进行硬件编程。
摘要由CSDN通过智能技术生成

1、使用正点原子的达芬奇开发板进行第一个FPGA程序设计。

2、启动vivado 2019.2。

3、 新建工程。

File--Project--New,选择RTL Project(寄存器传输级)。

 4、选择器件。

add sources点击next,

add constraints点击next,

选择xc7a35tfgg484-2,next,finish。

 5、新建源文件。

右键Add Sources,选择Add or create design sources,next,create file,文件名led,finish。

6、编写源文件。

led.v

&#
  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值