自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(59)
  • 收藏
  • 关注

原创 freemaster中Jlink连接的配置

2、选择工程的.axf文件。

2024-04-16 09:30:54 522

原创 电机控制中如何理解SVPWM合成生成的电压矢量

SVPWM调制的目的是要生成一个圆形旋转(越接近圆形越好)的磁链,带动电机以一定的速度旋转起来。磁链是一个幅值不变,按一定频率(与调制生成的三相交流电的频率有关)进行旋转的矢量。如果磁链是圆形旋转,那么一圈的电压矢量Us接到一起,就变成圆形旋转的电压矢量了。所以整体就约等于感应的电动势,这种说法太粗糙,可以先这样理解。其中的j,根据实轴和虚轴的概念,j代表超前参考轴90度。电压就可以等于后面的磁链的一个求导,表示成图形就如下图。那就可以理解SVPWM调制生成的电压矢量了。看后面部分,就可以得到。

2024-03-20 10:24:42 187

原创 SPI读取TLE5012B编码器角度数据_ACM32G103

每次发送完读取数据的指令之后,因为MOSI和MISO硬件连接到一起,我们就要将MOSI引脚由复用为SPI设置为输入状态,下一次发指令的时候重新复用为SPI。2、因为MOSI和MISO硬件上连接到了一起,根据TLE5102B的通信时序要求就要稍微修改一下MOSI引脚的状态,片选使用软件片选。P2标注的是SPI的接口,其中MISO和MOSI是硬件连接到一起的。买到一个带编码器的电机,卖家留出来读取编码器数据的接口有SPI。1、先发送读取数据的指令,然后再接收数据,一个半双工通信的过程。再来看读取角度的过程。

2024-03-10 10:33:10 814

原创 keil Jlink SWD 无法识别到设备的可能解决办法 & keil 工程目录移动的问题

工程所在的目录下,有一个JlinkSettings文件,这个文件里面包含了工程默认选择的芯片,所以我们无法重新选择设备。会弹出来一个界面让我们重新选择设备,这时候我们重新选择设备,就能读到设备了。keil Jlink SWD 无法识别到设备的可能解决办法。可以适当把这个连接速度降低一点,也可以解决问题。这时候我们想手动重新选择设备可能也没有办法。问题,如下图,点开就发现设备那里空白一片。

2023-12-04 10:00:12 4496 1

原创 Jflash连接不上 could not find core in coresight setup

有时候可能我们连接Jflah的时候会显示如下界面。比如降低到500KHz,初始化速度也可以降低。这时候我们可以适当降低下面这个速度。然后就可以连接成功了。

2023-12-01 10:57:43 1972

原创 ACM32F070 RTC 引脚做普通 GPIO 用法配置

注意后面几个RTC的函数要全部调用,第一个函数代表复用,第二个函数代表是否带上下拉,第三个函数代表配置IO成数字的还是模拟的。有场景需要把带RTC引脚功能的IO当做普通的GPIO使用,但是按照正常的GPIO初始化却无法使用,该芯片手册中有给出介绍。经过上面的配置之后,就可以把PC13,PC14,PC15当成普通的GPIO去使用了。

2023-11-30 11:45:09 590

原创 无感方波控制_超前换相

超前换相,延迟三十度

2023-09-08 22:59:17 300

原创 无感方波控制基本概念

5、进入过零检测中断,计算反电动势过零点,检测浮空相电压是否过零,是就设定延迟三十度换相时间。2、浮空相电压与上一个周期指定浮空相电压的1/2作比较,有分上升沿和下降沿。3、进入换相中断,设定续流的时间(避免误检测反电动势)2、切闭环的时候设定第一次延迟三十度换相的时间。关于反电动势过零点的计算,网上有很多种做法。3、浮空相电压与母线电压的1/2作比较。4、进入续流中断,开启过零检测。其中3、4可以是同一个中断。

2023-08-12 21:48:09 640

原创 PMSM控制_foc 控制环路

(4)速度环控制,将目标速度和估算的速度做差给到 PI,得出给定 Iq,一般是 10 倍或者 20 倍于电流环的周期执行一次。(2)取上一个周期的 Ualpha、Ubeta 和 Ialpha、Ibeta 进行位置和速度的估算。中间肯定还有其他的计算,比如 SVPWM 的电压矢量的幅值的计算,过流保护,过压欠压保护等的处理。(3)park 变换,将 Ialpha、Ibeta 变换为旋转坐标系下的 Id、Iq。(1)clarke 变换,将电流变换至静止坐标系下的 Ialpha、Ibeta。

2023-03-10 19:12:32 1172

原创 mcuxpresso 添加文件包含路径

就两步,打开工程的属性,一个是 C/C++ Build,一个是 C/C++ General,在上面两个地方添加你要包含的头文件的目录就行。

2023-03-08 08:57:58 251

原创 永磁同步电机表贴式和嵌入式

1、嵌入式结构的PMSM的气隙是不均匀的,主要体现在有磁铁和没磁铁的地方气隙厚度不一样,转矩组成是。2、表贴式的PMSM的气隙是均匀的,在有磁铁和没有磁铁的地方气隙厚度一样,转矩组成是只有。永磁体是否装在转子铁芯内,装在转子铁芯内的,就是嵌入式,装在外面的就是表贴式。

2023-03-01 21:59:17 2654

原创 VSCode platformio 首页一直 loading

platformio 主页一直 loading

2022-08-11 22:29:07 5220

原创 keil 突然跳转不了

如题 keil 突然跳转不了搞了好久,最终发现我是把工程放在了中文目录下面把它移出来然后重新编译就能正常跳转

2022-02-18 17:00:43 1592

原创 pycharm--显示 No module named ‘pygame‘

错误显示如下解决办法

2021-10-30 13:35:59 2150

原创 PADS无模命令积累

隐藏显示飞线zu以mil单位显示um以mm单位显示umm更改线宽w+宽度

2021-07-13 15:12:09 286

原创 PADS中关于元件属性描述如何去掉本身的属性名称

问题:如图,在现实元器件的属性的时候,我们只希望显示它的属性,而不希望显示它的属性名称,即去掉前面的 Value=,直接修改该文本是不行的,而又不想删掉,怎么去掉解决办法:双击元件->可见性->属性名称显示->勾选全部禁用即可效果如下:...

2021-06-30 08:57:53 1788

原创 PCF85063 RTC 芯片的使用

最近用了 NXP 的 RTC 芯片 PCF85063总结一下使用的感受:1、数据手册中个寄存器的描述已经描述得很清楚,要看清楚数据手册2、如果通信不成功,检查一下硬件和时序,我没有遇到这个问题。一写就回应了,还是比较顺利的3、它的时间存储格式是按 BCD 码的格式来存储的。如果需要使用,还需要转换成十六进制之后才能使用,时间 年的范围:0~99,这一个需要注意4、每一个时间对应一个寄存器,但是八位中不是每一个位都全部用到,比如 日 中的 6、7 位就没有用到,小时格式分 12 小时制和 24 小时

2021-06-27 10:21:26 2234 1

原创 VSCode 显示 GB2312 编码格式的中文乱码

问题:在 VSCode 中打开的代码本来的中文注释变成一堆不知道是啥东西解决办法:1、在vscode中的扩展中搜索:GBKtoUTF82、安装该插件,重新打开工程,问题解决在网上找了很多其他的那种改变字符编码的格式好像不大行,只能改变一个文件里面的。这个方法解决了我这个 GB2312 这个编码格式的问题,其他编码格式不清楚...

2021-06-24 09:02:07 3254

原创 NXP NTP53321 NTAG芯片简单使用

最近需要用到 NTAG 芯片,使用的是 NXP 的 NTP53321我要实现的效果就是,手机通过 NFC 去读取 MCU 写给 NTP53321 芯片的文本信息简单实现了一下现总结一下:1、注意读写时序我是使用 IIC 跟 NTP53321 通信的,注意 NTP53321 IIC 的读写时序,它在手册里面有注明,分读写寄存器和读写Memory。2、读写 Memory 的时候,它的 Memory 地址是 32 位的,所以要写分开来写,先写高位。3、手机通过 NFC 读取 NTP53321 里面的

2021-06-19 10:00:13 1286

原创 从NXP官网下载的SDK如何导进MCUXpresso IDE

1、问题问题描述如题,怎么把自己从官网下载的SDK导进去NXP自家的IDE2、解决办法1、如上图,打开MCUXpresso之后,在界面正中下方会有一个installed SDKs 这个小窗口,直接把下载的SDK拉进来这里即可导进去MCUXpresso了2、导进去MCUXpresso之后,选择Import SDK example即可...

2021-05-19 12:12:01 1513 2

原创 PADS覆铜灌注的时候无法全部灌注

1、问题在PADS我们设置好覆铜区,在最后完成的进行灌注后,发现有部分网络的铜并没有灌注到,对它进行单独灌注也没用如图,我分别设置了TEST_A和GND_CU两个覆铜区,但是在进行灌注的时候就只灌注了GND_CU,TEST_A就没有灌注到,对它进行单独灌注也没有用2、解决办法不使用覆铜,使用铜箔在TEST_A网络区域进行铺铜,效果如下图便两个网络都有铜了...

2021-04-09 09:46:22 3695

原创 PADS修改文本线宽无法生效

1、问题如图明明宽度是 10mil,可是宽度10mil不可能这么细2、解决办法这是因为我们默认设置显示的最小宽度大于10mil,这时候需要我们修改设置。依次点开菜单栏工具->选项->常规->图形->最小显示宽度,修改想要显示的最小宽度即可,比如我这里让显示宽度最小为5mil...

2021-04-08 09:49:00 1767

原创 STM32 或 NXP MCU 之间移植代码的时候需要注意的

1、问题:我在移植的时候到最后链接的时候发现少了某个函数未定义,检查过后发现路径,文件全都有包含,重新试了一遍亦如此2、解决办法我最后是发现自己没有在 Linker 那里添加链接库文件的路径,加载之后解决文件总结一下移植的时候要注意的几个地方(1)工程文件是否正确添加(2)工程路径的文件,中文路径,或者路径是否添加,是否正确添加(3)链接的库,库的版本,从哪里移植过来的(4)芯片的 Flash 文件(5)预定义宏的处理认真查看魔法棒里面的每一个选项...

2021-02-23 12:26:45 761

原创 FreeMASTER中与MCU进行通信显示read only 错误

1、问题描述如图FreeMASTER在连接成功后,如果出现这个错误,相应的html界面是空白的就没有办法对参数进行调整,需要找到图中警告的目录检查是否存在提示的文件在该目录下保存的txt档全是关于电机参数的配置文件警告里面显示的是没有找到该配置文件2、解决办法那么我们在该目录下创建一个即可如图便解决了上述错误注意其中的参数可以从其他的TXT档复制实际的参数还需连接上之后自行调整...

2021-02-20 09:29:54 489

原创 Keil 中 Error L6002U

1、问题描述:-----我是因为改变了工程目录而导致此问题,把原来在比较深的文件目录下的工程文件移动到比较浅的文件目录-----然后移动后修改路径的时候出现的此问题我在文件目录已经找到了该文件,并且在包含文件路径里面也已经添加该文件的路径仍然显示没有该路径或文件2、解决办法:我把 linker 这里的路径删了重新设置一遍就可以了...

2020-12-22 09:36:32 1102

原创 FreeMaster 中 Plug-in Module 显示空白的问题

问题如图,这个区域无法显示你的插件,空白的,就无法进行下一步解决方法1、重新安装 FreeMaster,最好安装在 C 盘,我就是这样解决的2、如图中所述试试Basically, the installation process is the same as running the“c:\NXP\FreeMASTER 3.0\FreeMASTER\register.bat” with administratorrights. If re-installation does not h

2020-10-16 17:18:45 1522 3

原创 微信小程序目录文件的作用

问题工程目录中各文件分别都是什么,有哪些作用答

2020-10-14 22:57:51 1124

原创 PADS 中如何删除自己打的地孔阵列

问题PADS Layout 中如何删除打的地孔阵列解决方法(1) 右击空白地方(2) 选择筛选条件(3) 对象(4) 勾选缝合孔(5) 关闭(6) 选中整个覆铜打地孔的区域,按下 Delete(7) 确认完成可能还会有那个轮廓留下,选中形状删除即可...

2020-10-14 18:13:30 3194

原创 PADS Layout 中元件编号消失或者删掉了如何重新显示

问题PADS 中元器件编号消失或者不小心删掉如何重新显示出来解决方法1.选中元件,右键 Add New Labels 添加新标签,弹出一个对话框对话框的2.最上面下拉菜单选择 Ref.Des,下方 Size 栏可以改变字体大小,Line 可以改变粗细,点击 OK,就有编号了...

2020-10-09 20:43:24 8045 1

原创 PADS 边框标注

问题PADS 中如何标注边框解决方法1)空白处右键,选择板框2)选中板框3)选中尺寸标注工具,水平或者垂直4)点击边框位置,即可标注

2020-10-09 12:18:14 1835

原创 PADS logic 输出至 layout 中显示未分配封装

问题描述已经输出至 layout 的原理图想再次修改,在画好了原理图和封装之后再次输出至 layout,发现未为元器件分配封装的问题,无论修改,保存,更新多次依旧是此问题解决办法我这里的是保存好后关闭 logic 和 layout,重新同步输出即可...

2020-09-27 17:48:31 2312 3

原创 PADS 拉选嵌在元器件封装里面的文字

问题描述如下如果其中的元器件编号也就是丝印,放在元器件里边,对后面会有一点影响,想要直接点它拉出来是不行的,因为好像根本选不中,要么选中元器件,要么选中里面的焊盘。解决办法右击旁边空白,选中文档,即可选中拉出...

2020-09-25 17:01:09 416

原创 C++输出二进制数

#include<iostream>#include<bitset>using namespace std;int main(void){ int a = 2; cout << (bitset<8>)a << endl; return 0;}bitset<8>其中8表示要输出的二进制数的位数输出结果

2020-06-08 08:17:25 3000

原创 Python学习过程中遇到的问题收集及解决方案

visual studio code安装教程VScode安装用VScode配置Python开发环境用VScode配置Python开发环境

2020-06-07 18:27:47 680

原创 C/C++ ---const关键字

cons关键字的t作用(1)可以定义const常量(2)const 可以修饰函数的参数、返回值等。(3)被const修饰的东西都受到强制保护,可以预防意外的变动,能提高程序的健壮性。const int a;//a是一个常整型数。int const a;//a是一个常整型数const int *a;//a是一个指向常整型数的指针变量int * const a;//a是一个指向整形数的常指针int const * a const;//a是一个常整型数的常指针...

2020-05-23 19:07:49 118

原创 新安装的Ubantu重新装vim的时候出错

由于我是新手,新安装了Ubantu想按照网上的配置来配置一下。网上的说法是先要重新安装vim按顺序在终端执行下面命令sudo apt-get remove vim-commonsudo apt-get install vim输入第一句命令之后输入第二句执行的时候悲剧了显示的错误一是:E:Failed to fetch http://security.ubantu.com....E:Unable to fetch some archives,maybe run apt-get update

2020-05-23 13:31:32 234

原创 C语言中常见对字符串操作的函数

求字符串长度----strlen()----sizeof() char buf[] = "asadsa"; printf("sizeof(buf)=%d\n",sizeof(buf));//7 printf("strlen(buf)=%d\n",strlen(buf));//6C语言的字符串数组在末尾默认加上’\0’strlen()不算’\0’,只计算字符串的长度sizeof()算上’\0’另外sizeof()有一个要注意的,sizeof()用于数组,只能测出静态数组的

2020-05-13 11:31:11 342

原创 PWM介绍(STM32库函数)

简介PWM是 Pulse Width Modulation的缩写。它是利用微处理器的数字输出来对模拟电路进行控制的一种技术。可应用与电机控制,测量,通信,开关电源等STM32输出PWM详解使用正点原子提供的底层库,先看定时器的使能typedef struct{ uint16_t TIM_Prescaler; /*!< 指定用于分割定时时钟的预分频器值。 ...

2020-04-30 16:45:28 5271

原创 A/D、D/A转换PCF8591

PCF8591是一款CMOS数据采集器件,通过IIC与单片机进行通信,具有4路A/D转换输入、1路D/A模拟输出以五一板子上面的原理图为例AIN0~AIN3:模拟信号输入端EXT:内部、外部时钟选择线,使用内部时钟时EXT接地Vref:基准电源端AOUT:D/A转换的模拟输出端器件地址...

2020-04-27 08:38:22 775

原创 MySQL---创建表和连表、分组、排序等操作

首先这里有两张表做测试用代码均在命令提示符终端完成创建部门表 create table department_table( department_id int auto_increment primary key, department varchar(32) )engine=innodb default charset=utf8;插入数据insert into departm...

2020-04-08 18:11:20 1449

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除