FPGA IMPLEMENT 1Gb/10Gb ETH(一.3)

FCS/CRC代码实现:

原文链接:https://blog.csdn.net/qq_34070723/article/details/89736772

一、按照模二除法实现CRC,多项式CRC=x16+x12+x5+x0,

下面是Verilog代码,其是对输入的并行数据进行计算CRC,但是用的是时序串行计算的方法,需要8个clk才计算出结果。

/*************************按照原理实现方法**************************/
module CRC_Gen(
    input clk,
    input rst_n,
    input [7:0] data,
    input data_valid,
    output reg [15:0] crc
    );
    
    reg[23:0]temp=0;
    parameter polynomial=17'b1_0001_0000_0010_0001;
    
    
 always @ (posedge clk or negedge rst_n)
 begin
    if(!rst_n)
    begin
        crc<=0;
        temp<={data,16'b0};//复位时,将初始数据放入寄存器
    end
    else if(data_valid)
    begin
             if(temp[23]) temp[23:7]<=temp[23:7]^polynomial;
        else if(temp[22]) temp[22:6]<=temp[22:6]^polynomial;
        else if(temp[21]) temp[21:5]<=temp[21:5]^polynomial;
        else if(temp[20]) temp[20:4]<=temp[20:4]^polynomial;
        else if(temp[19]) temp[19:3]<=temp[19:3]^polynomial;
        else if(temp[18]) temp[18:2]<=temp[18:2]^polynomial;
        else if(temp[17]) temp[17:1]<=temp[17:1]^polynomial;
        else if(temp[16]) temp[16:0]<=temp[16:0]^polynomial;
        else   crc<=temp[15:0];   
    end   
 end
       
endmodule
/***********************testbench******************************/
module CRC_Gen_tb;
reg clk;
reg rst_n;
reg [7:0]data;
reg data_valid; 
wire [15:0]crc;
initial 
begin
    clk=0;
    rst_n=0;
    data=8'b10110110;data_valid=1;//复位时,将初始数据放入寄存器
    #100 rst_n=1;
   
    #500 rst_n =0;
    data =8'b01001100; data_valid=1;
    #300 rst_n =1;
   
    #500 rst_n =0;
    data =8'b10110011;data_valid=1;
    #300 rst_n =1;
   
    #500 rst_n =0;
    data =8'b01001001; data_valid=1;     
    #300 rst_n =1;
    
    #500 rst_n =0;
    data =8'b10101010;data_valid=1; 
    #300 rst_n =1;
end
 CRC_Gen   U0(
   .clk(clk),
   .rst_n(rst_n),
   .data(data),
   .data_valid(data_valid),
   .crc(crc)
    );
endmodule
————————————————
版权声明:本文为CSDN博主「king阿金」的原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接及本声明。
原文链接:https://blog.csdn.net/qq_34070723/article/details/89736772

 

二、线性反馈移位寄存器LFSR实现CRC,还是多项式CRC=x16+x12+x5+x0,对应如图3,至于为什么这么实现,大概可以理解为把输出输出每一位拆开计算:

输入数据是并行的,以下做到了在一个时钟周期内算出CRC校验码[3]。

参考来自基于FPGA的CRC校验码生成器。如果用时序电路串行实现,则8 bit数据要移位8次,就需要8个clk,效率低下,为了能在一个时钟周期输出结果,必须采用组合电路,当然,这是以空间换时间的方法,由于使用了for循环8次,直观的讲电路规模将扩大8倍。for语句循环几次,就是将相同的电路复制几次,因此循环次数越多,占用面积越大

 

  • 1
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值