数码管动态扫描

数码管动态扫描(学习心得)
要判断数码管是共阴还是共阳,要确定位选和段选,由于自己用的是核心板,所以还得焊一个数码管模块出来。
动态显示中,利用人眼视觉暂留在20ms内,进行动态扫描,由于系统时钟频率为50MHz,数码管扫描太快,会导致三极管来不及通断,光线会变暗,所以采用时钟分频。
段选,采用八选一多路器,选择的结果进行查找表(4输入8输出)。
位选,一共有八位数码管,用一个8位循环位移寄存器。 数码管驱动模块逻辑电路图看图编写程序如下:


  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
数码管动态扫描是一种常用的显示方式,通过快速地切换显示的数码管,以人眼无法察觉的速度来实现同时显示多位数的效果。这种方式主要基于一种叫做"时分复用"的技术来实现。 在Verilog HDL中,可以使用以下步骤来实现数码管动态扫描: 1. 首先,需要定义数码管的显示字形。可以使用一个包含7个比特(对应数码管的7个段)的向量来表示一个数字或字母的显示形式。例如,可以定义一个向量`reg [6:0] digit`来存储需要显示的字形。 2. 然后,需要定义一个计数器来实现动态扫描。可以使用一个包含n个比特的寄存器来表示计数器的值。例如,可以定义一个8位的寄存器`reg [7:0] count`来实现8位数码管扫描。 3. 接下来,需要使用一个时钟信号来驱动计数器的计数过程。可以使用计数器的值来确定当前应该显示的数字。例如,可以通过定义一个`always`块来实现计数器的自增功能,如`always @(posedge clk) count <= count + 1`。 4. 在时钟的驱动下,计数器的值会不断变化。可以通过使用`case`语句来选择当前计数器值应该显示的字形。例如,可以使用以下代码: ```verilog always @(posedge clk) begin case (count) 0: digit <= 7'b0111111; // 显示数字0 1: digit <= 7'b0000110; // 显示数字1 // 其他数字的显示字形... default: digit <= 7'b0000000; // 不显示 endcase end ``` 5. 最后,需要将计数器的值和字形信号连接到数码管的相应引脚上,以实现动态扫描显示的效果。 总结起来,通过定义数码管的显示字形,使用计数器实现动态扫描,利用时钟信号和`case`语句来选择要显示的字形,最后将计数器的值和字形信号连接到数码管引脚上,就可以实现数码管动态扫描的功能。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值