将一个串行执行的C语言算法转化为单拍完成的并行可综合verilog

unsigned char cal_table_high_first(unsigned char value)
{
	unsigned char i ;
unsigned  char checksum = value ; 
    for (i=8;i>0;--i)
    {
        if (check_sum & 0x80)
        {
            check_sum = (check_sum<<1) ^ 0x31;
        }
        else
        {
            check_sum = (check_sum << 1);
        }
    }
    return check_sum;
}
module loop(
input clk,
input rst_n,
input [7:0] value,
output reg  [7:0] check_sum
);

wire [7:0] check_sum_nxt = value;
integer i;

always@(posedge clk or negedge rst_n) begin
	if(!rst_n)
		check_sum <= 'b0;
	else begin
		check_sum <= check_sum_nxt ;
	end
end

always@(*) begin
	for(i=8;i>0;i=i-1) begin
		if(check_sum_nxt [7])
			check_sum_nxt = {check_sum_nxt [6:0],1'b0} ^ 8'h31;
		else
			check_sum_nxt = {check_sum_nxt [6:0],1'b0};
	end
end

endmodule
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值