FPGA学习笔记1--1位全加器

module full_adder1(
input a,
input b,
input cin,
output sum,
output cout);
assign sum=a^b^cin;
assign cout=(a&b)|(b&cin)|(a&cin);

endmodule

仿真代码

`timescale 1ns/1ns 
`include "full_adder1.v" 
module tb_full_adder1();
reg a;
reg b;
reg cin;
wire sum;
wire cout;
full_adder1 u1(.a(a),.b(b),.cin(cin),
.sum(sum),.cout(cout));
initial begin
a=1'b0;
b=1'b0;
cin=1'b0;
end
always #5 a=~a;
always #10 b=~b;
always # 15 cin=~cin;
endmodule

波形:在这里插入图片描述

  • 0
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值