56--vivado带通滤波器的设计

实现数字带通滤波器。参数如下:
 通过频率1:100KHz;
 通过频率2:300KHz;
 阻带频率1:50KHz;
 阻带频率2:350KHz;
 通带波动:<1dB;
 阻带衰减:>40dB。

在这里插入图片描述在这里插入图片描述
量位宽选择16

ip核配置可参考之前文章。可在ip catalog 搜索fir,adder,dds来找到。(分别是滤波,加法器,波形生成)

`timescale 1ns / 1ps
//
// Company: 
// Engineer: 
// 
// Create Date: 2020/06/06 16:52:59
// Design Name: 
// Module Name: bandpass_top
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//


module bandpass_top(
    input clk,
    input m_axis_data_tvalid,
    input s_axis_data_tvalid,
    output [15:0]m_axis_data_tdata_1,
    output [15:0]m_axis_data_tdata_2,
    output [15:0]m_axis_data_tdata_3,
    output [16:0]s1,
    output [17:0]S,
    output [39:0] m_axis_data_tdata_fir
    );
    //200kHz
    
    wire event_pinc_invalid_1,event_pinc_invalid_2,event_pinc_invalid_3;
    wire event_poff_invalid_1,event_poff_invalid_2,event_poff_invalid_3;
    wire m_axis_phase_tvalid_1,m_axis_phase_tvalid_2,m_axis_phase_tvalid_3;
    wire m_axis_phase_tdata_1,m_axis_phase_tdata_2,m_axis_phase_tdata_3;
    dds_compiler_0 dds_200 (
  .aclk(clk),                                // input wire aclk
  .m_axis_data_tvalid(m_axis_data_tvalid),    // output wire m_axis_data_tvalid
  .m_axis_data_tdata(m_axis_data_tdata_1),      // output wire [15 : 0] m_axis_data_tdata
  .m_axis_phase_tvalid(m_axis_phase_tvalid_1),  // output wire m_axis_phase_tvalid
  .m_axis_phase_tdata(m_axis_phase_tdata_1),    // output wire [15 : 0] m_axis_phase_tdata
  .event_pinc_invalid(event_pinc_invalid_1),    // output wire event_pinc_invalid
  .event_poff_invalid(event_poff_invalid_1)    // output wire event_poff_invalid
);
//800kHz
dds_compiler_1 dds_800 (
  .aclk(clk),                                // input wire aclk
  .m_axis_data_tvalid(m_axis_data_tvalid),    // output wire m_axis_data_tvalid
  .m_axis_data_tdata(m_axis_data_tdata_2),      // output wire [15 : 0] m_axis_data_tdata
  .m_axis_phase_tvalid(m_axis_phase_tvalid_2),  // output wire m_axis_phase_tvalid
  .m_axis_phase_tdata(m_axis_phase_tdata_2),    // output wire [15 : 0] m_axis_phase_tdata
  .event_pinc_invalid(event_pinc_invalid_2),    // output wire event_pinc_invalid
  .event_poff_invalid(event_poff_invalid_2)    // output wire event_poff_invalid
);
//10kHz
dds_compiler_2 dds_10(
  .aclk(clk),                                // input wire aclk
  .m_axis_data_tvalid(m_axis_data_tvalid),    // output wire m_axis_data_tvalid
  .m_axis_data_tdata(m_axis_data_tdata_3),      // output wire [15 : 0] m_axis_data_tdata
  .m_axis_phase_tvalid(m_axis_phase_tvalid_3),  // output wire m_axis_phase_tvalid
  .m_axis_phase_tdata(m_axis_phase_tdata_3),    // output wire [15 : 0] m_axis_phase_tdata
  .event_pinc_invalid(event_pinc_invalid_3),    // output wire event_pinc_invalid
  .event_poff_invalid(event_poff_invalid_3)    // output wire event_poff_invalid
);

c_addsub_0 add1 (
  .A(m_axis_data_tdata_1),  // input wire [15 : 0] A
  .B(m_axis_data_tdata_2),  // input wire [15 : 0] B
  .S(s1)  // output wire [16 : 0] S
);
c_addsub_1 add2 (
  .A(s1),  // input wire [16 : 0] A
  .B(m_axis_data_tdata_3),  // input wire [15 : 0] B
  .S(S)  // output wire [17 : 0] S
);

wire s_axis_data_tready;
fir_compiler_0 fir1 (
  .aclk(clk),                              // input wire aclk
  .s_axis_data_tvalid(s_axis_data_tvalid),  // input wire s_axis_data_tvalid
  .s_axis_data_tready(s_axis_data_tready),  // output wire s_axis_data_tready
  .s_axis_data_tdata(S),    // input wire [23 : 0] s_axis_data_tdata
  .m_axis_data_tvalid(m_axis_data_tvalid),  // output wire m_axis_data_tvalid
  .m_axis_data_tdata(m_axis_data_tdata_fir)    // output wire [39 : 0] m_axis_data_tdata
);


endmodule

`timescale 1ns / 1ps
//
// Company: 
// Engineer: 
// 
// Create Date: 2020/06/06 19:29:55
// Design Name: 
// Module Name: tb_bandpass
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//

module tb_bandpass(
    );
        reg clk;
    reg m_axis_data_tvalid;
    reg s_axis_data_tvalid;
    wire [15:0]m_axis_data_tdata_1;
    wire [15:0]m_axis_data_tdata_2;
    wire [15:0]m_axis_data_tdata_3;
    wire [16:0]s1;
    wire [17:0]S;
    wire [39:0]m_axis_data_tdata_fir;
    bandpass_top u1(.clk(clk),. m_axis_data_tvalid( m_axis_data_tvalid),.m_axis_data_tdata_1(m_axis_data_tdata_1),.m_axis_data_tdata_2(m_axis_data_tdata_2),.m_axis_data_tdata_3(m_axis_data_tdata_3),
    .s1(s1),.S(S),.s_axis_data_tvalid(s_axis_data_tvalid),.m_axis_data_tdata_fir(m_axis_data_tdata_fir));
    initial begin
    clk<=1'b0;
    s_axis_data_tvalid<=1'b1;
   m_axis_data_tvalid<=1'b1;
    end
    always #5 clk<=~clk;
endmodule

仿真波形:
S为10kHz,200kHz,800kHz的叠加波,滤除后可见只剩下200kHz的波形,实验成功。
在这里插入图片描述

  • 0
    点赞
  • 26
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值