从零开始学习fpga
fpga小项目
xxg薛
fpga从小白走向工程师1018850709@qq.com
展开
-
仿真基本函数用法
$display 打印信息自动换行eg:$display("%b+%b=%d",a,b,c);%h或%H以16进制输出%d或%D以10进制输出%o或%O以8进制输出initial begin $display("hello"); $display("hi"); a=4'd5; b=4'd6; #100; $display("%b+%b=%d",a,b,c);end这段代码输出he...原创 2022-02-15 09:42:44 · 248 阅读 · 0 评论 -
计数器设计
设计架构设计一个计数器每隔0.5秒使得LED反转一次,一个周期需要1秒,fpga时钟是f=50MHZ,fpga周期为t=1/f=20ns。 所以LED反转一次一个周期需要计数m=1s/20ns-1=49 999 999次,led从亮到灭或者从灭到亮半个周期需要计数cnt=m/2-1=24 999 999。 在这里科研设计一个标志信号,每次计数到cnt-1=m/2-2的时候,产生一个周期高电平标志信号cnt_flag, 当cnt_flag为高电平的时候,下一个周期led取反即...原创 2022-02-15 09:01:25 · 1237 阅读 · 0 评论 -
全加器设计
设计架构代码编写原创 2022-02-15 08:34:46 · 368 阅读 · 0 评论 -
半加器设计
设计架构代码编写 module half_addr( input in_1, input in_2, output sum, output count ); assign {count,sum}=in_1+in_2; endmodule原创 2022-02-13 16:22:16 · 385 阅读 · 0 评论 -
多路选择器
设计架构波形图绘制代码编写module yimaqi( input in_1, input in_2, input in_3, output reg [7:0] out);/*always @(*) if({in_1,in_2,in_3}==3'b000) out=8'b0000_0000; else if({in_1,in_2,in_3}==3'b001) out=8'b0000_0001; else if({in_1,in_2,in_3}==3'原创 2022-02-13 16:17:13 · 282 阅读 · 0 评论