计数器设计

设计架构

设计一个计数器每隔0.5秒使得LED反转一次,一个周期需要1秒,fpga时钟是f=50MHZ,fpga周期为t=1/f=20ns。 所以LED反转一次一个周期需要计数m=1s/20ns-1=49 999 999次,led从亮到灭或者从灭到亮半个周期需要计数cnt=m/2-1=24 999 999。             

在这里可以设计一个标志信号,每次计数到cnt-1=m/2-2的时候,产生一个周期高电平标志信号cnt_flag,  当cnt_flag为高电平的时候,下一个周期led取反即可。                                             

 波形图绘制

 代码编写

module counter
#(
	parameter CNT_MAX=25'd24999999
)
(
	input		clk,
	input		rst_n,
	output	reg led_out
);

reg [24:0]cnt;

always@(posedge clk or negedge rst_n)
	if(!rst_n)
		cnt<=0;
	else if(cnt==CNT_MAX)
		cnt<=0;
	else
		cnt<=cnt+1;
		
always@(posedge clk or negedge rst_n)
	if(!rst_n)
		led_out<=0;
	else if(cnt==CNT_MAX)
		led_out<=~led_out;
	else
			led_out<=led_out;
endmodule

仿真代码

`timescale 1ns/1ns
module tb_counter();

	reg		clk;
	reg		rst_n;
	wire  led_out;
	
initial begin
	clk=1;
	rst_n=0;
	#20;
	rst_n=1;
end	

always #10 clk=~clk;
initial begin
	$timeformat(-9,0,"ns",6);
	$monitor("@time %t:led_out=%b",$time,led_out);
end
	
counter 
#(
	.CNT_MAX(25'd24)
)
counter
(
	.clk(clk),
	.rst_n(rst_n),
	.led_out(led_out)
);
endmodule

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值