异步复位同步释放

/*异步复位同步释放:在复位信号到来的时候不受时钟信号的同步,而是在复位信号释放的时候受到时钟信号的同步
同步复位:复位信号只有在时钟上升沿到来时,才能有效 (增加fpga内部的资源消耗,降低亚稳态出现的概率)
异步复位:无论时钟是否到来:只要复位信号有效,就对信号进行复位(存在隐患,会产生不定态)

*/

module reset_clk(
	input					clk,
	input					rst_n,
	input					data_a,
	input					data_b,
	output				out_a,
	output				out_b
);
reg  reg1,reg2;
reg  reg3,reg4;
wire  reset_n;

assign out_a=reg1;
assign out_b=reg2;
assign reset_n=reg4;
//生成同步复位输出reset_n,reset_n作为第二个模块的异步复位
always@(posedge clk or  negedge rst_n)
	if(!rst_n)
		begin
			reg3<=0;
			reg4<=0;
		end
	else begin
		reg3<=1;
		reg4<=reg3;
	end
	//将同步的复位当异步复位使用
always@(posedge clk or  negedge reset_n)
	if(!reset_n)
		begin
			reg1<=0;
			reg2<=0;	
		end
	else begin
		reg1<=data_a;
		reg2<=data_b;
	end
	

endmodule
`timescale 1ns/1ns
module reset_clk_tb;
	reg				clk;
	reg				rst_n;
	reg				data_a;
	reg				data_b;
	wire				out_a;
	wire				out_b;

	initial begin
		clk=0;
		rst_n=0;
		data_a=1;
		data_b=0;
		#100.4;	
		rst_n=1;
		
		#100 ;
		#10;
		rst_n=0;
		#10;
		#100 data_a=1;data_b=0;
		#100 data_a=0;data_b=1;
		rst_n=1;
		#100 data_a=0;data_b=0;
	end

always #10 clk=~clk;

reset_clk reset_clk(
	.clk(clk),
	.rst_n(rst_n),
	.data_a(data_a),
	.data_b(data_b),
	.out_a(out_a),
	.out_b(out_b)
);


endmodule

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值