全加器设计

设计架构

 

代码编写

module full_addr(
	input in_1,
	input in_2,
	input cin,
	output count,
	output sum
);
wire sum1;
wire count1;
wire count2;
half_addr half_addr0(
	.in_1(in_1),
	.in_2(in_2),
	.sum(sum1),
	.count(count1)
);

half_addr half_addr1(
	.in_1(sum1),
	.in_2(cin),
	.sum(sum),
	.count(count2)
);

assign count=count1 | count2;

endmodule
module half_addr(
	input in_1,
	input in_2,
	output sum,
	output count
);

assign {count,sum}=in_1+in_2;

endmodule

仿真代码

`timescale 1ns/1ns
module tb_full_addr();

	reg in_1;
	reg in_2;
	reg cin;
	wire count;
	wire sum;
	
initial begin
	in_1<=0;
	in_2<=0;
	cin<=0;
end

initial begin
	$timeformat(-9,0,"ns",4);
	$monitor("@time %t:in_1=%b,in_2=%b,cin=%b,count=%b,sum=%b",$time,in_1,in_2,cin,count,sum);
end

always #10 in_1<={$random}%2;
always #10 in_2<={$random}%2;
always #10 cin<={$random}%2;




	
full_addr full_addr(
	.in_1(in_1),
	.in_2(in_2),
	.cin(cin),
	.count(count),
	.sum(sum)
);

endmodule

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值