Circuits--Sequential Logic--Finite State Machines--Lemmings4

网址:https://hdlbits.01xz.net/wiki/Lemmings4

module top_module(
    input clk,
    input areset,    // Freshly brainwashed Lemmings walk left.
    input bump_left,
    input bump_right,
    input ground,
    input dig,
    output walk_left,
    output walk_right,
    output aaah,
    output digging); 
    
    parameter	[4:0]  LEFT = 	5'b00001;
    parameter	[4:0]	RIGHT = 5'b00010;
    parameter	[4:0]	AAAH = 	5'b00100;
    parameter	[4:0]	DIG	= 	5'b01000;
    parameter	[4:0]	SPLA =  5'b10000;
    
    reg		[4:0]	cnt;
    reg				flag;
    parameter	[4:0]	spla_MAX = 5'd19;
    
    reg	 	[4:0]	state	;
    reg		[4:0]	next_state;
    reg		[4:0]	last_state;
    
    always@(posedge clk or posedge areset)
      begin
          if(areset)
              cnt <= 5'd0;
          else if(next_state == AAAH)
              cnt <= cnt + 5'd1;
          else
              cnt <= 5'd0;
      end  
    
    always@(posedge clk or posedge areset)
      begin
          if(areset)
              flag <= 1'b0;
          else if(cnt > spla_MAX)
              flag <= 1'b1;
          else
              flag <= flag;
      end 
    
    always@(posedge clk or posedge areset)
        begin
            if(areset)
                state <= LEFT;
            else
                state <= next_state;
        end
    
    always@(*)    begin
        case(state)
            LEFT:
                begin
                    if(ground == 1'b0)
                        begin
                            next_state <= AAAH;
                            last_state <= LEFT;
                        end
                    else 	if(dig == 1'b1)
                        begin  
                            next_state <= DIG;
                            last_state <= LEFT;
                        end
                    else	if(bump_left == 1'b1)
                        begin
                            next_state <= RIGHT;
                            last_state <= RIGHT;
                        end
                    else
                        begin
                        next_state <= LEFT;
                        last_state <= LEFT;
                        end
                end
            RIGHT:
                begin
                    if(ground == 1'b0)
                        begin
                            next_state <= AAAH;
                            last_state <= RIGHT;
                        end
                    else 	if(dig == 1'b1)
                        begin  
                            next_state <= DIG;
                            last_state <= RIGHT;
                        end
                    else	if(bump_right == 1'b1)
                        begin
                            next_state <= LEFT;
                            last_state <= LEFT;
                        end
                    else
                        begin
                        next_state <= RIGHT;
                        last_state <= RIGHT;
                        end
                end
            AAAH:
                begin
                    if(ground == 1'b0)
                        next_state <= AAAH;
                    else if(flag == 1'b1)
                        next_state <= SPLA;
                    else 
                        next_state <= last_state;
                end
             DIG:
                begin
                    if(ground == 1'b1)
                        next_state <= DIG;
                    else
                        next_state <= AAAH;
                end
            SPLA:
                		next_state <= SPLA;

   default:
       			begin
                    next_state <= LEFT;
                    last_state <= LEFT;
                end
        endcase
        end
    
    assign walk_left = (state == LEFT);
    assign walk_right = (state == RIGHT);
    assign aaah = (state == AAAH);
    assign digging =(state == DIG); 
                
                     

endmodule


  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

余睿Lorin

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值