【状态机的实现|Finite State Machine|Lemmings4|HDL bits】

利用有限状态机实现一个小游戏,共四节。本节为第四节

题目介绍

原题地址:https://hdlbits.01xz.net/wiki/Lemmings4
题目介绍:
在这里插入图片描述
尽管Lemmings可以行走、掉落和挖掘,但它们并不是无敌的。如果一个Lemming掉落时间过长然后着地,它可能会破碎。具体而言,如果一个Lemming掉落超过20个时钟周期然后着地,它将破碎并停止行走、掉落或挖掘(所有4个输出都变为0),永远地(或直到FSM被重置)。在Lemming着地之前,没有掉落的上限。Lemming只有在着地时才会破碎,它们在空中时不会破碎。

请扩展您的有限状态机以模拟这种行为。

题目解析

根据题目介绍,lemmings多了死亡这个条件,当向左或向右掉落超过
20个时钟周期再落地时触发死亡条件。因此我们还应再设计一个计数
器来测量下落时间,并在LEFT_DOWN和RIGHT_DOWN这两个状态
时加入掉落时间这个判断语句。

答案解析

首先时输入输出及参数设置,多了死亡DEATH这个状态,因此lemmings一共包含7种状态,分别为LEFT,RIGHT,  LEFT_DOWN,  RIGHT_DOWN,  LEFT_DIG,  RIGHT_DIG
,DEATH。此外,对于计数器,设计一个32位的计数器falltime_count,20个时钟的死亡
判定条件计为DEATH_TIME=32‘d19;
module top_module(
    input clk,
    input areset,    // Freshly brainwashed Lemmings walk left.
    input bump_left,
    input bump_right,
    input ground,
    input dig,
    output walk_left,
    output walk_right,
    output aaah,
    output digging ); 
    
    parameter LEFT=7'b0000001,
    RIGHT=7'b0000010,
    LEFT_DOWN=7'b0000100,
    RIGHT_DOWN=7'b0001000,
    LEFT_DIG=7'b0010000,
    RIGHT_DIG=
  • 2
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值