Synopsys Formality 2018操作流程

Synopsys Formality 2018操作流程

eda工具使用经验 专栏收录该内容
3 篇文章 1 订阅


前言

由于项目后期需要完成一个rtl和网表之间的形式验证,需要用synopsys的formality来完成,由于第一次接触,也摸索了将近三天才能上手使用,记录一下整个flow的过程

一、formality是什么?

formality是S家的形式验证的工具,形式验证故名思意是完成一个表面逻辑的验证,通过导入rtl代码和DC综合后的门级网表,验证前后逻辑是否一致,是否DC将部分逻辑消除了。

二、使用步骤

0.打开formality的gui界面

在这里插入图片描述
只需要在终端输入formality就可以打开gui界面了

1.导入svf文件

在这里插入图片描述

svf文件是DC综合过程中产生的文件,用来记录DC对网表产生的一些变化,防止后续的rtl和门级网表对应不上的问题。

2.读入verilog文件

在这里插入图片描述
第二步就是读入rtl级代码了,但是此处需要注意两点

  • 一.是否用到了IP,如果用到了IP,那么不需要在read design files 中将verilog代码读入,而是在后续的read DB libraries 中读入IP的db文件即可。

  • 在这里插入图片描述

  • 二.是否在design中直接用到了工艺库中的module,如果用到了需要在options中设置。 具体如下图

在这里插入图片描述

  • 首先在options中的variables的designware root directroy中选择DC安装的路径。

在这里插入图片描述

  • 然后在VCS style options选项卡中的library file中选择应用的工艺库的.v文件,并add
  • 最后在set top design中选择顶层module进行set top,成功后ref上会出现绿色小勾。

3.读入网表文件

在这里插入图片描述
在impl栏中同样也是读入design 和 db ,只不过这里要读的是网表的design和db,
和步骤二类似,如果调用了pdks的设计,也要在options中设置,而db读入过程中除了要读入IP的db,还要读入pdks的db。最后设置set top,成功后同样会出现绿色小勾

4.setup

setup好像是为了带有扫描链和DFT的设计准备的,本人暂时还没用过

5.match and verify

全部设置完就可以,mathc and verify了,如果通过的话会提示verify succeed。如果失败的话会具体提示哪些部分验证不上。比如

在这里插入图片描述

6.注意事项

这里有一个很重要的点,DC 的set_svf一定要放在脚本最前面,否则有些改变不会记录下来,我就是因为这个原因有两个FF一直unmatch,还以为是latcg的问题,其实
set verification_clock_gate_edge_analysis true
这条语句可以将DC生成的latcg作用屏蔽。

</article>
pdf_watermark
  • 0
    点赞
  • 18
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
### 回答1: Synopsys Formality 用户指南是一本指导用户使用 Synopsys 公司的 Formality 工具的手册。Formality 是一种形式验证工具,用于验证硬件设计的正确性。 这本用户指南提供了关于使用 Formality 的详细说明和指导。它涵盖了从介绍工具的基本概念开始,到如何安装和设置 Formality,以及如何使用不同的功能来验证设计的各个方面。 在用户指南中,有关于不同验证策略和技术的解释,以及如何正确设置验证环境的说明。它还包括了如何生成验证脚本、执行验证任务和分析验证结果的步骤。 用户指南中还提供了关于错误排除的信息和常见问题的解答。它可以帮助用户了解并解决在使用 Formality 过程中可能遇到的各种问题。 此外,用户指南还介绍了如何使用 Formality 和其他 Synopsys 工具进行整个验证流程的集成。它提供了集成的步骤和技巧,以确保设计在不同阶段的验证过程中的一致性和正确性。 总而言之,Synopsys Formality 用户指南是一本详细的手册,旨在帮助用户了解和正确使用 Formality 工具来验证硬件设计。通过遵循指南中的步骤和建议,用户将能够更加高效地进行验证,并确保设计的正确性和稳定性。 ### 回答2: Synopsys Formality 用户指南是一本关于使用Synopsys公司的Formality软件的手册。Formality是一款用于验证和优化ASIC和FPGA设计的工具。该用户指南提供了详细的使用说明和操作步骤,帮助用户正确、高效地使用Formality进行设计验证。 在Synopsys Formality用户指南中,用户可以学习到Formality的基本概念和核心功能。其中包括了Formality的安装和配置,如何建立设计库和约束文件,以及如何进行逻辑等效性验证。 用户指南还提供了Formality的常用命令和选项的详细介绍,帮助用户理解和熟悉Formality的各种功能和工作流程。用户可以学习到如何运行Formality进行各种类型的验证,如时序等效性验证、逻辑等效性验证和接口等效性验证等。此外,指南还详细介绍了Formality的报告和调试功能,以及如何解决遇到的问题和错误。 用户指南还包含了一些常见的设计验证场景和实例,以帮助用户更好地理解和应用Formality。这些场景和实例涵盖了多个设计验证的方面,包括时序优化、功耗优化和面积优化等。 总之,Synopsys Formality用户指南是一本介绍和指导用户正确使用Formality工具的重要参考手册。通过学习该指南,用户可以快速上手并掌握Formality工具的各种功能,提高设计验证的准确性和效率。 ### 回答3: 《Synopsys Formality用户指南》是一本详细介绍了Synopsys公司的Formality工具的使用方法和相关知识的指南。Formality是一种逻辑的验证工具,用于验证设计是否符合规范和预期行为。 这本用户指南包含了从基础知识到高级技巧的全面内容。首先,它介绍了Formality的基本概念和作用,包括验证的过程、输入文件的格式、输出结果等。它还解释了Formality与其他验证工具的关系,以及如何通过与其他工具的集成来提高验证效果。 接下来,用户指南详细介绍了Formality的使用方法。它涵盖了设计的准备工作,包括输入文件的准备和设置参数。然后,它详细解释了Formality的验证流程,包括检查模块的等效性、生成验证报告等。此外,指南还提供了一些实用技巧和建议,以帮助用户更高效地使用Formality工具。 在用户指南的最后部分,它还提供了一些常见问题的解答和故障排除的方法。如果在使用Formality时遇到问题,用户指南可以作为一个有用的参考资源,帮助用户解决问题并更好地理解Formality的工作原理。 总之,《Synopsys Formality用户指南》是一本帮助用户理解和使用Formality工具的重要参考资料。通过阅读这本指南,用户可以全面了解Formality的使用方法和相关知识,并能够更高效地进行逻辑验证的工作。
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值