vcs makefile

第一版
vcs:
vcs add.v fa.v add_tb.v -full64 -cc gcc-4.8 -LDFLAGS -Wl,–no-as-needed -debug_all +v2k
clean:
rm -rf ~ core csrc simv vc_hdrs.h ucli.key urg* .log novas. .fsdb verdiLog 64* DVEfiles *.vpd

第二版
#-------------------------------------------------------------------------------------------------------
comp : clean vcs
#-------------------------------------------------------------------------------------------------------
vcs :
vcs
-f filelist.f
-timescale=1ns/1ps
-fsdb -full64 -cc gcc-4.8 -LDFLAGS -Wl,–no-as-needed -R +vc +v2k -sverilog -debug_all
-P ${LD_LIBRARY_PATH}/novas.tab ${LD_LIBRARY_PATH}/pli.a
| tee vcs.log &
#-------------------------------------------------------------------------------------------------------
verdi :
verdi -f filelist.f -ssf tb.fsdb &
#-------------------------------------------------------------------------------------------------------
clean :
rm -rf ~ core csrc simv vc_hdrs.h ucli.key urg* .log novas. .fsdb verdiLog 64* DVEfiles *.vpd
#-------------------------------------------------------------------------------------------------------

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值