Makefile 脚本运行VCS仿真

LINUX学习笔记

Makefile 脚本运行VCS仿真

1. 文件
2. Makefile 脚本
3. 命令
  • 1. 文件

    1. tb.list: tesetbech文件目录
    2. rtl.list:rtl代码文件目录
    3. tc_teset.v: 测试用例代码
  • 2. Makefile 脚本

	TC=
	COV_OPTION = -cm line+cond+fsm+tgl+branch
	COV_OPTION += -cm_dir ${TC}_cov
	
	copy_case:
				cp -rf ../tc/${TC}.v tesetcase.v
	run_rtl:
				vcs -f rtl.list -f tb.list +v2k -timescale=1ns/1ps +define+SIM -debug_all ${COV_OPTION} -Mupdate -R -l sim.log
				
	clean:
	
	clean: 
				rm -rf csrc sim.daidir ucli.key vcdplus.vpd sim ccc
				
	clean_run:     clean copy_case run_rtl

  • 3. 命令
: make clean_run TC=tc_teset

【注】:个人学习笔记,如有错误,望不吝赐教,这厢有礼了~~~


  • 1
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值