UVM世界观 (二)

一、factory 机制

1、为什么需要工厂

  • 工厂设计模式是一种典型的软件设计模式,UVM工厂的存在就是为了更方便地替换验证环境中的实例或者注册了的类型,同时工厂的注册机制也带来了配置的灵活性
  • 这里的实例或者类型替代,在UVM中称作覆盖(override),而被用来替换的对象或者类型,应该满足注册(registration)和多态(polymorphism)的要求。
  • UVM的验证环境构成可以分为两部分,一部分构成了环境的层次,这部分代码是通过uvm_component类完成,另外一部分构成了环境的属性(例如配置)和数据传输,这一部分通过uvm_object类完成。这两类也是工厂的主要模具和生产对象,通过注册,可以用工厂完成对象的创建。保证在不修改原有验证环境层次和验证包的同时,实现了对环境内部组件类型或者对象的覆盖。

2、使用工厂模式的步骤

2.1.、登记注册

UVM提供了多个工厂机制的注册宏,下面给出一种我常用的注册宏。

`uvm_{component,object}_utils(class_type_name),

在工厂注册的类一般分为两大类型,即 uvm_componet 和 uvm_object。

在工厂注册的类一般分为两大类型,即 uvm_componet 和 uvm_object。

//例1 uvm_object类型:
class obj extends uvm_object;
	`uvm_object_utlis(obj)
    function new(string name='obj');
		super.new(name);
		$display($sfortmatf("%s is ceated",name));
	endfunction:new

//例2 uvm_componet类型:
class comp extends uvm_componet;
   `uvm_componet_utlis(obj)
   function new(string name='comp',uvm_componet parent=null);
    	super.new(name,parent);
    	$display($sfortmatf("%s is ceated",name));
    endfunction:new
// 注:以上两个代码的注册宏和new()函数是范式,结构和参数都不可更改。

<

  • 8
    点赞
  • 33
    收藏
    觉得还不错? 一键收藏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值