Verilog实现CRC算法


本文主要介绍如何借助现有工具快速实现基于Verilog的CRC算法,以及如何验证结果的正确性。

1 算法编程

使用网站提供的工具生成CRC16的Verilog代码,设置如下图所示。点击Generate Code下载Verilog源文件CRC16_D32.v。

在这里插入图片描述
对CRC16_D32.v文件进行修改,修改部分如下图所示。

在这里插入图片描述

2 功能仿真

编辑下图所示测试文件,进行仿真测试。

在这里插入图片描述
测试结果如下图所示。

在这里插入图片描述

3 结果比对

使用网站提供的计算工具对上述结果进行验证,二者的结算结果一致。

在这里插入图片描述

  • 1
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值