Xilinx P4使用方法--仿真篇


本文主要介绍Xilinx P4的仿真文件和软硬件仿真流程。

1 仿真文件

Xilinx提供了一些仿真的例子,在<安装目录>/data/ip/xilinx/vitis_net_p4_<P4版本>/example_design/examples下,如five_tuple例子是用来根据五元组信息匹配规则插入vlan字段、forward例子是用来对IPV4和IPV6的数据包进行转发。在每个例子中都有数据平面处理逻辑的定义文件.p4、命令文件cli_commands.txt和仿真数据文件traffic_in.user。

创建一个Vivado工程,例化一个P4 IP,然后在IP的配置中选择一个P4文件,编译器会对P4文件进行编译,然后对P4 IP进行配置。右键P4 IP选择Open IP Example Design即可打开仿真例程。在例程中存在下图所示的文件,不同的版本文件会存在一些不同。所有需要的文件都在vitis_net_p4_0_ex.sim/sim_1/behave/xsim下,包括新生成的traffic_out.user和traffic_out.meta,原始文件在imports下。仿真时会执行xsim下的vivado_wc_pre.tcl,调用import下的run-p4bm-vitisnet.tcl利用源文件main.json、traffic_in.user、cli_commands.txt生成输出文件traffic_out.user和traffic_out.meta,仿真过程可查看p4bm-vitisnet_log_cli.txt文件,这个过程相当于软件仿真。
在这里插入图片描述
为了方便使用,自己创建工程,然后将这些文件复

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值