FPGA学习日志——三八译码器Decoder

三八译码器

译码器:译码是编码的逆过程,其功能是将具有特定含义的二进制码进行辨别,并转换成控制信号,具有译码功能的逻辑电路称为译码器。如果有n个二进制选择线,则最多可译码转换成2n个数据

实验框图、真值表和波形图:

在这里插入图片描述

实验代码:
module decoder
(
    input wire in_1,
    input wire in_2,
    input wire in_3,
    output      reg [7:0]   out     
);
/* always@(*)
    if({in_1,in_2,in_3}==3'b000)
        out=8'b0000_0001;
    else    if({in_1,in_2,in_3}==3'b001)
                out=8'b0000_0010;
            else    if({in_1,in_2,in_3}==3'b010)
                        out=8'b0000_0100;
                    else    if({in_1,in_2,in_3}==3'b011)
                                out=8'b0000_1000;
                            else    if({in_1,in_2,in_3}==3'b100)
                                        out=8'b0001_0000;
                                    else    if({in_1,in_2,in_3}==3'b101)
                                                out=8'b0010_0000;
                                            else    if({in_1,in_2,in_3}==3'b100)
                                                        out=8'b0100_0000;
                                                    else    if({in_1,in_2,in_3}==3'b111)
                                                                out=8'b1000_0000;
                                                            else    out=8'b0000_0001; */
always@(*)
    case({in_1,in_2,in_3})
        3'b000:out=8'b0000_0001;
        3'b001:out=8'b0000_0010;
        3'b010:out=8'b0000_0100;
        3'b011:out=8'b0000_1000;
        3'b100:out=8'b0001_0000;
        3'b101:out=8'b0010_0000;
        3'b110:out=8'b0100_0000;
        3'b111:out=8'b1000_0000;
        default:out=8'b0000_0001;
    endcase
endmodule

值得注意的是:case语句的各分支语句没有优先级,而if else语句有优先级,同时,case语句中的各分支的值必须互斥。所以实验使用case语句效果更佳。

仿真代码:
 `timescale 1ns/1ns
 module tb_decoder();
reg in_1;
reg in_2;
reg in_3;

wire [7:0] out;
initial
    begin  
        in_1<=1'b0;
        in_2<=1'b0;
        in_3<=1'b0;
    end
always  #10 in_1<={$random}%2;
always  #10 in_2<={$random}%2;
always  #10 in_3<={$random}%2;
initial
    begin
    $timeformat(-9,0,"ns",6);
    $monitor("@time %t:in_1=%b,in_2=%b,in_3=%b,out=%b",$time,in_1,in_2,in_3,out);
    end
//进行实例化
decoder decoder_inst//模块名 实例化名
(
    .in_1(in_1),
    .in_2(in_2),
    .in_3(in_3),
        
    .out(out)    
);
endmodule

其中针对如何产生随机变量{$random}%2和观测系统函数$timeformat $monitor要加以熟悉。

本章没什么其他重点。

  • 1
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Chendy_00

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值