Verilog文件的读取(fscanf)和写入(fwrite)方法

在写testbench时,经常会用到文件的读取,下面示例了文件读取和写入的方法:

文件 读取(fscanf)

图中第一行定义一个文件句柄。由于打开的文件中一行中有两个10bit的十进制数据,所以定义了2个reg变量。

第6行到12行就是文件的读取过程。

使用的系统函数$fopen打开文件;

使用$feof判断文件是否读完;

使用$fscanf读取文件内容。

在实际验证中,仿真一段时间后,仿真会结束,注意在仿真结束时,要使用$fclose(dti_fid)关闭文件。

文件 写入(fwrite)

在写文件时,首先要建一个文件句柄如15行;

17-18行,声明2个10bit的输出数据dto_i和dto_r;

20-22行,建立一个文件;

24-27行,写文件。dto_vld是dto_i和dto_r数据的有效指示。

还是要注意在仿真结束时,要用$fclose(dto_fid)关闭文件句柄。

 

  • 3
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值