ModelSim仿真波形保存步骤

1、do文件的保存过程

(1)将视图切换到wave界面,即鼠标左键点击选择wave界面,使其高亮显示。

(2)file——>save format——>D:/modeltech_6.5b/examples/run_wave.do 可以更改do文件名称和存放目录,一般保存在仿真目录中。

2、wlf文件保存过程

(1)切换左边任务栏至“sim”。

 (2)点击保存,给将要保存的 .wlf文件(波形文件)命名。

3、载入之前保存的波形

(1)重启modelsim,open 之前保存的 .wlf文件,

(2)然后 ,load 对应的 .do文件。如下图所示。

 

 

 

 

  • 4
    点赞
  • 67
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
总共4个分卷,此为第4个.下全了才能正常解压. 国内电子设计界著名教授北航夏宇闻又一力作!本书是《Verilog数字系统设计教程》(第2版)的姊妹篇。 内容简介 Verilog SOPC 高级实验教程是为学习Verilog语言之后,想在FPGA上设计并实现嵌入式数字系统的人们而专门编写的。本实验教程是《Verilog数字系统设计教程》(第2版)的后续课程,是姊妹篇。本书通过由浅入深的10个实验,详细地介绍了ModelSim 6.0和Quartus Ⅱ 8.1的操作步骤,扼要地介绍了Quartus Ⅱ 8.1的主要设计资源和SOPCBuilder等工具的应用方法,并阐述了如何配合自己设计的Verilog模块和FPGA中的内嵌处理器Nios Ⅱ 等现成IP资源,设计并实现高性能嵌入式硬件/软件系统。本实验教程也可以作为集成电路设计专业系统芯片(SoC)前端逻辑设计和验证课程的实验教材。为了使阐述的内容更加具体,本教程中的每个实验均选用Altera FPGA (型号为Cyclone Ⅱ EP2C35F672C8)实现,并在革新科技公司专业级实验平台GXSOC/SOPC运行通过。 本书可作为电子信息、自动控制、计算机工程类大学本科高年级学生和研究生的教学用书,亦可供其他工程技术人员自学与参考。 目录回到顶部↑第1讲 ModelSim SE 6.0的操作  1.1 创建设计文件的目录  1.2 编写RTL代码  1.3 编写测试代码  1.4 开始RTL仿真前的准备工作  1.5 编译前的准备、编译和加载  1.6 波形观察器的设置  1.7 仿真的运行控制  总结  思考题 第2讲 Quartus 8.1入门  2.1 Quartus Ⅱ 的基本操作知识  2.2 Quartus Ⅱ 的在线帮助  2.3 建立新的设计项目  2.4 用线路原理图为输入设计电路  2.5 编译器的使用  2.6 对已设计的电路进行仿真  2.7 对已布局布线的电路进行时序仿真  总结  思考题 .第3讲 用Altera器件实现电路  3.1 用Cyclone Ⅱ FPGA实现电路  3.2 芯片的选择  3.3 项目的编译  3.4 在FPGA中实现设计的电路  总结  思考题 第4讲 参数化模块库的使用  4.1 在Quartus Ⅱ 下建立引用参数化模块的目录和设计项目  4.2 在Quartus Ⅱ 下进入设计资源引用环境  4.3 参数化加法-减法器的配置和确认  4.4 参数化加法器的编译和时序分析  4.5 复杂算术运算的硬件逻辑实现  总结  思考题 第5讲 锁相环模块和SignalTap的使用 第6讲 Quartus Ⅱ SOPCBuilder的使用 第7讲 在Nios Ⅱ 系统中融入IP 第8讲 LCD显示控制器IP的设计 第9讲 BitBLT控制器IP 第10讲 复杂SOPC系统的设计 本书的结束语 附录 GXSOC/ SOPC 专业级创新开发实验平台 参考文献
ModelSim是一款常用的仿真工具,它可以根据设计文件仿真文件生成波形图。波形图是用来观察和判断设计的代码功能是否正确的。在进行功能仿真时,需要准备以下文件:设计HDL源代码、测试激励代码(也称为TestBench)和仿真模型/库。设计HDL源代码可以使用VHDL语言或Verilog语言编写,而测试激励代码则是根据设计要求编写的激励程序,具有很大的灵活性。仿真模型/库根据设计中调用的器件供应商提供的模块而定,例如FIFO、ADD_SUB等。 ModelSim仿真分为前仿真和后仿真两种。前仿真是纯粹的功能仿真,用于验证电路的功能是否符合设计要求,不考虑电路门延迟和线延迟。后仿真则是时序仿真,可以考虑逻辑的时延和功能,综合考虑电路的路径延迟和门延迟的影响,验证电路在一定时序条件下是否满足设计构想,并检查是否存在时序违规。对于FPGA设计来说,通常只进行前仿真(功能仿真)即可。 ModelSim的使用方式可以分为直接使用ModelSim软件进行仿真和通过其他EDA工具如Quartus II调用ModelSim进行仿真(联合仿真)。无论是手动仿真还是自动仿真,它们都遵循以下5个步骤:新建工程、编写Verilog文件和TestBench仿真文件、编译工程、启动仿真器并加载设计顶层、执行仿真。 根据您的问题描述,我了解到您想了解ModelSim仿真波形中的两条红线以及与VHDL语言的关系。关于这个问题,我在提供的引用中没有找到相关的信息。鉴于此,我无法给出具体的回答。如果您还有其他问题,我会很乐意帮助您解答。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值