FPGA的学习:基于ROM的VGA图像显示

以十色等宽彩条做背景,将存储于 ROM 中的图片显示在 VGA 显示器的中心位置。图片分辨率为 100*100,VGA 显示模式为 640x480@60。

效果类似于下图:
在这里插入图片描述
首先需要将图片用Matlab转换为mif文件。
mif文件生成代码如下:

WIDTH=16;
DEPTH=10000;

ADDRESS_RADIX=UNS;
DATA_RADIX=HEX;

CONTENT
	BEGIN
		0	:0	;
		1	:0	;
		2	:0	;
		3	:0	;
		4	:0	;
		5	:0	;
		6	:840	;
		7	:1080	;
		8	:1081	;
		9	:1081	;
		10	:1081	;
		11	:1081	;
		12	:1081	;
		13	:1081	;
		14	:1081	;
		15	:1081	;
		16	:1081	;
		17	:1081	;
		18	:1081	;
		19	:1081	;
		20	:1081	;
		21	:1081	;
		22	:1081	;
		23	:1081	;
		24	:1081	;
		25	:1081	;
		26	:1081	;
		27	:1061	;
		28	:1060	;
		29	:1060	;
		30	:1060	;
		31	:1060	;
		32	:1061	;
		33	:1060	;
		34	:860	;
		35	:860	;
		36	:1081	;
		37	:1060	;
		38	:1080	;
		39	:1081	;
		40	:1080	;
		41	:1080	;
		42	:1080	;
		43	:1080	;
		44	:1080	;
		45	:1080	;
		46	:1081	;
		47	:10a0	;
		48	:1080	;
		49	:1081	;
		50	:10a0	;
		51	:10a0	;
		52	:1080	;
		53	:1080	;
		54	:10a1	;
		55	:1081	;
		56	:1080	;
		57	:1060	;
		58	:1080	;
		59	:1080	;
		60	:1060	;
		61	:1060	;
		62	:860	;
		63	:840	;
		64	:840	;
		65	:840	;
		66	:840	;
		67	:840	;
		68	:860	;
		69	:1060	;
		70	:1060	;
		71	:1081	;
		72	:1060	;
		73	:1060	;
		74	:1060	;
		75	:1060	;
		76	:1060	;
		77	:10a0	;
		78	:10a1	;
		79	:1081	;
		80	:1081	;
		81	:1081	;
		82	:1081	;
		83	:1080	;
		84	:1060	;
		85	:1080	;
		86	:1060	;
		87	:1060	;
		88	:1081	;
		89	:1060	;
		90	:1060	;
		91	:860	;
		92	:1060	;
		93	:840	;
		94	:0	;
		95	:0	;
		96	:0	;
		97	:0	;
		98	:0	;
		99	:0	;
		100	:0	;
		101	:0	;
		102	:0	;
		103	:0	;
		104	:20e2	;
		105	:7b85	;
		106	:d5c9	;
		107	:ff6c	;
		108	:ffcd	;
		109	:ffce	;
		110	:ffce	;
		111	:ffef	;
		112	:ffef	;
		113	:fff0	;
		114	:fff0	;
		115	:fff0	;
		116	:fff0	;
		117	:fff0	;
		118	:fff1	;
		119	:fff1	;
		120	:fff1	;
		121	:ffd1	;
		122	:ffb0	;
		123	:ff6f	;
		124	:ff4f	;
		125	:ff2e	;
		126	:feed	;
		127	:f6ad	;
		128	:f68c	;
		129	:ee6b	;
		130	:ee2b	;
		131	:e60a	;
		132	:ddc9	;
		133	:dd89	;
		134	:d568	;
		135	:d568	;
		136	:dda8	;
		137	:e609	;
		138	:ee49	;
		139	:f669	;
		140	:fea9	;
		141	:fee9	;
		142	:ff09	;
		143	:ff29	;
		144	:ff4a	;
		145	:ff4a	;
		146	:ff8a	;
		147	:ff69	;
		148	:ff8a	;
		149	:ff89	;
		150	:ff8a	;
		151	:ff8a	;
		152	:ff8a	;
		153	:ffaa	;
		154	:ffa9	;
		155	:ff89	;
		156	:ff09	;
		157	:f688	;
		158	:ee27	;
		159	:e5c6	;
		160	:dd66	;
		161	:d545	;
		162	:d525	;
		163	:cce5	;
		164	:ccc4	;
		165	:ccc4	;
		166	:c4a4	;
		167	:c4a4	;
		168	:ccc5	;
		169	:d506	;
		170	:d546	;
		171	:dd87	;
		172	:e5c8	;
		173	:ede8	;
		174	:ee29	;
		175	:f66a	;
		176	:fe8a	;
		177	:fecb	;
		178	:ff0c	;
		179	:ff2c	;
		180	:ff6d	;
		181	:ff6d	;
		182	:ff4c	;
		183	:ff0b	;
		184	:fecb	;
		185	:fe8a	;
		186	:f66a	;
		187	:ee29	;
		188	:ee09	;
		189	:e5e8	;
		190	:e5a7	;
		191	:dd67	;
		192	:cd06	;
		193	:9be5	;
		194	:5a43	;
		195	:1880	;
		196	:0	;
		197	:0	;
		198	:0	;
		199	:20	;
		200	:0	;
		201	:0	;
		202	:0	;
		203	:41e2	;
		204	:d5c7	;
		205	:ff8b	;
		206	:ff2b	;
		207	:ff0b	;
		208	:ff0b	;
		209	:ff0c	;
		210	:ff0c	;
		211	:ff0c	;
		212	:ff2d	;
		213	:ff2d	;
		214	:ff2d	;
		215	:ff2e	;
		216	:ff2e	;
		217	:ff2e	;
		218	:ff2f	;
		219	:ff2f	;
		220	:ff2f	;
		221	:ff2f	;
		222	:ff2e	;
		223	:f6ee	;
		224	:eecd	;
		225	:ee8c	;
		226	:e66c	;
		227	:e62b	;
		228	:de0b	;
		229	:ddca	;
		230	:d5aa	;
		231	:d589	;
		232	:cd48	;
		233	:c528	;
		234	:c4e7	;
		235	:bcc7	;
		236	:bcc6	;
		237	:c506	;
		238	:cd47	;
		239	:d587	;
		240	:ddc8	;
		241	:e608	;
		242	:e628	;
		243	:e648	;
		244	:ee68	;
		245	:ee88	;
		246	:f6a8	;
		247	:f6c8	;
		248	:f6c8	;
		249	:f6c8	;
		250	:fee9	;
		251	:f6e9	;
		252	:fee8	;
		253	:fee9	;
		254	:fee8	;
		255	:ff09	;
		256	:f6e9	;
		257	:ee67	;
		258	:dde7	;
		259	:d566	;
		260	:cd25	;
		261	:c4e5	;
		262	:bca4	;
		263	:bc64	;
		264	:b444	;
		265	:b424	;
		266	:b424	;
		267	:b403	;
		268	:b424	;
		269	:b444	;
		270	:bc85	;
		271	:c4c6	;
		272	:c506	;
		273	:cd47	;
		274	:d588	;
		275	:dda8	;
		276	:dde9	;
		277	:e609	;
		278	:ee4a	;
		279	:ee6b	;
		280	:f6ac	;
		281	:feec	;
		282	:fecc	;
		283	:f6ac	;
		284	:f68b	;
		285	:ee4a	;
		286	:e62a	;
		287	:e5e9	;
		288	:dda9	;
		289	:d588	;
		290	:d567	;
		291	:cd07	;
		292	:c4e6	;
		293	:c4e5	;
		294	:cd05	;
		295	:93a4	;
		296	:3141	;
		297	:0	;
		298	:0	;
		299	:0	;
		300	:20	;
		301	:0	;
		302	:41c2	;
		303	:e628	;
		304	:ff29	;
		305	:f6ea	;
		306	:f6ea	;
		307	:feea	;
		308	:ff2e	;
		309	:ff32	;
		310	:ff53	;
		311	:ff33	;
		312	:ff33	;
		313	:ff53	;
		314	:ff53	;
		315	:ff53	;
		316	:ff53	;
		317	:ff33	;
		318	:ff53	;
		319	:ff53	;
		320	:ff53	;
		321	:ff33	;
		322	:ff33	;
		323	:ff33	;
		324	:ff32	;
		325	:f732	;
		326	:f712	;
		327	:f712	;
		328	:f711	;
		329	:f6f1	;
		330	:f6d0	;
		331	:f6d0	;
		332	:eeb0	;
		333	:eeaf	;
		334	:eeaf	;
		335	:ee8f	;
		336	:ee8e	;
		337	:ee8e	;
		338	:eead	;
		339	:f6ad	;
		340	:f6ad	;
		341	:f6ad	;
		342	:f6ad	;
		343	:f6cc	;
		344	:f6cc	;
		345	:f6cc	;
		346	:f6cb	;
		347	:f6cb	;
		348	:f6cb	;
		349	:f6cb	;
		350	:f6ab	;
		351	:f6ab	;
		352	:f6ab	;
		353	:ee8a	;
		354	:f68a	;
		355	:ee6a	;
		356	:ee6a	;
		357	:ee4a	;
		358	:e629	;
		359	:e609	;
		360	:dde9	;
		361	:ddc8	;
		362	:dda8	;
		363	:d588	;
		364	:dd88	;
		365	:d568	;
		366	:d567	;
		367	:d547	;
		368	:d547	;
		369	:cd47	;
		370	:d547	;
		371	:cd47	;
		372	:d527	;
		373	:d547	;
		374	:cd47	;
		375	:cd47	;
		376	:cd47	;
		377	:cd47	;
		378	:cd47	;
		379	:d527	;
		380	:cd47	;
		381	:cd47	;
		382	:cd47	;
		383	:cd26	;
		384	:cd06	;
		385	:c506	;
		386	:c4e6	;
		387	:c4c6	;
		388	:c4c5	;
		389	:bca5	;
		390	:c4a5	;
		391	:c4e6	;
		392	:cd06	;
		393	:c4e6	;
		394	:c4a5	;
		395	:c4c4	;
		396	:ac04	;
		397	:3122	;
		398	:0	;
		399	:0	;
		400	:0	;
		401	:18c1	;
		402	:c545	;
		403	:f6a8	;
		404	:f6a8	;
		405	:f6c8	;
		406	:ff0c	;
		407	:ff54	;
		408	:ff56	;
		409	:ff55	;
		410	:ff56	;
		411	:ff55	;
		412	:ff55	;
		413	:ff55	;
		414	:ff55	;
		415	:ff55	;
		416	:ff55	;
		417	:ff34	;
		418	:ff54	;
		419	:ff34	;
		420	:ff54	;
		421	:ff54	;
		422	:ff34	;
		423	:ff54	;
		424	:ff34	;
		425	:ff34	;
		426	:ff34	;
		427	:ff53	;
		428	:ff33	;
		429	:ff33	;
		430	:ff33	;
		431	:ff32	;
		432	:ff32	;
		433	:ff52	;
		434	:ff31	;
		435	:ff31	;
		436	:ff11	;
		437	:ff10	;
		438	:ff10	;
		439	:ff10	;
		440	:f70f	;
		441	:ff0f	;
		442	:feee	;
		443	:feee	;
		444	:feee	;
		445	:feed	;
		446	:f6ed	;
		447	:fecc	;
		448	:fecc	;
		449	:feac	;
		450	:f6ab	;
		451	:d5cb	;
		452	:e64b	;
		453	:f68b	;
		454	:f66b	;
		455	:ee4a	;
		456	:ee4b	;
		457	:ee4a	;
		458	:ee4a	;
		459	:ee2a	;
		460	:e60a	;
		461	:e609	;
		462	:e60a	;
		463	:e5ea	;
		464	:e5e9	;
		465	:dde9	;
		466	:ddc9	;
		467	:ddc9	;
		468	:dda9	;
		469	:dda8	;
		470	:d588	;
		471	:d588	;
		472	:d568	;
		473	:d567	;
		474	:d547	;
		475	:cd47	;
		476	:cd27	;
		477	:cd27	;
		478	:cd06	;
		479	:c4e6	;
		480	:c4e6	;
		481	:c4c6	;
		482	:c4c6	;
		483	:c4a5	;
		484	:bca5	;
		485	:bca5	;
		486	:bc85	;
		487	:bc85	;
		488	:bc85	;
		489	:bc64	;
		490	:b444	;
		491	:b464	;
		492	:b464	;
		493	:c4c5	;
		494	:c4e6	;
		495	:bca5	;
		496	:c4a5	;
		497	:93a4	;
		498	:1881	;
		499	:0	;
		500	:0	;
		501	:7304	;
		502	:f687	;
		503	:ee47	;
		504	:ee67	;
		505	:f70d	;
		506	:ff56	;
		507	:ff56	;
		508	:ff55	;
		509	:ff55	;
		510	:ff55	;
		511	:ff55	;
		512	:ff55	;
		513	:ff55	;
		514	:ff55	;
		515	:ff55	;
		516	:ff55	;
		517	:ff55	;
		518	:ff54	;
		519	:ff54	;
		520	:ff54	;
		521	:ff34	;
		522	:ff54	;
		523	:ff34	;
		524	:ff34	;
		525	:ff34	;
		526	:ff54	;
		527	:ff54	;
		528	:ff53	;
		529	:ff33	;
		530	:ff33	;
		531	:ff33	;
		532	:ff32	;
		533	:ff12	;
		534	:ff11	;
		535	:ff11	;
		536	:ff11	;
		537	:ff10	;
		538	:ff10	;
		539	:ff10	;
		540	:ff0f	;
		541	:feef	;
		542	:feef	;
		543	:feee	;
		544	:feee	;
		545	:fecd	;
		546	:feed	;
		547	:fecd	;
		548	:fecc	;
		549	:f6cc	;
		550	:fecc	;
		551	:c56a	;
		552	:acca	;
		553	:feab	;
		554	:ee6b	;
		555	:f66b	;
		556	:ee6b	;
		557	:ee4a	;
		558	:ee4b	;
		559	:ee4a	;
		560	:e62a	;
		561	:e60a	;
		562	:e609	;
		563	:e609	;
		564	:e5e9	;
		565	:e5e9	;
		566	:e5c9	;
		567	:ddc9	;
		568	:dda8	;
		569	:dda8	;
		570	:d588	;
		571	:d588	;
		572	:d588	;
		573	:d567	;
		574	:d567	;
		575	:d547	;
		576	:cd47	;
		577	:cd27	;
		578	:cd06	;
		579	:cd06	;
		580	:cd06	;
		581	:c4e6	;
		582	:c4e6	;
		583	:c4c5	;
		584	:c4c5	;
		585	:c4c5	;
		586	:bca5	;
		587	:bca5	;
		588	:bc85	;
		589	:bc85	;
		590	:bc64	;
		591	:bc64	;
		592	:b444	;
		593	:b444	;
		594	:c4a5	;
		595	:c4c5	;
		596	:bca5	;
		597	:cce5	;
		598	:5a42	;
		599	:0	;
		600	:820	;
		601	:b4a5	;
		602	:e606	;
		603	:e606	;
		604	:ee8a	;
		605	:ff76	;
		606	:ff55	;
		607	:ff55	;
		608	:ff55	;
		609	:ff55	;
		610	:ff55	;
		611	:ff55	;
		612	:ff55	;
		613	:ff55	;
		614	:ff55	;
		615	:ff55	;
		616	:ff55	;
		617	:ff55	;
		618	:ff55	;
		619	:ff54	;
		620	:ff54	;
		621	:ff54	;
		622	:ff54	;
		623	:ff54	;
		624	:ff54	;
		625	:ff34	;
		626	:ff54	;
		627	:ff54	;
		628	:ff34	;
		629	:ff33	;
		630	:ff33	;
		631	:ff33	;
		632	:ff32	;
		633	:ff32	;
		634	:ff32	;
		635	:ff31	;
		636	:ff11	;
		637	:ff11	;
		638	:ff10	;
		639	:ff10	;
		640	:ff10	;
		641	:feef	;
		642	:feef	;
		643	:feef	;
		644	:feee	;
		645	:feee	;
		646	:f6ed	;
		647	:feed	;
		648	:fecd	;
		649	:f6cc	;
		650	:feec	;
		651	:d5cb	;
		652	:83e9	;
		653	:e64b	;
		654	:f6ab	;
		655	:f66b	;
		656	:ee6b	;
		657	:ee6b	;
		658	:ee4a	;
		659	:ee4a	;
		660	:ee2a	;
		661	:e62a	;
		662	:e62a	;
		663	:e5e9	;
		664	:e5e9	;
		665	:e5e9	;
		666	:e5c9	;
		667	:ddc9	;
		668	:ddc9	;
		669	:dda8	;
		670	:d5a8	;
		671	:d588	;
		672	:d588	;
		673	:d568	;
		674	:d568	;
		675	:d547	;
		676	:d547	;
		677	:cd47	;
		678	:cd27	;
		679	:cd27	;
		680	:cd06	;
		681	:cd06	;
		682	:c4e6	;
		683	:c4e6	;
		684	:c4c6	;
		685	:c4c6	;
		686	:c4c5	;
		687	:bca5	;
		688	:bca5	;
		689	:bc85	;
		690	:bc85	;
		691	:bc65	;
		692	:b464	;
		693	:b444	;
		694	:b444	;
		695	:c4e6	;
		696	:c4c6	;
		697	:c4a5	;
		698	:9ba4	;
		699	:21	;
		700	:860	;
		701	:ddc5	;
		702	:d5a5	;
		703	:ddc5	;
		704	:ff33	;
		705	:ff56	;
		706	:ff55	;
		707	:ff55	;
		708	:ff55	;
		709	:ff55	;
		710	:ff55	;
		711	:ff55	;
		712	:ff55	;
		713	:ff55	;
		714	:ff55	;
		715	:ff55	;
		716	:ff55	;
		717	:ff55	;
		718	:ff55	;
		719	:ff55	;
		720	:ff54	;
		721	:ff54	;
		722	:ff54	;
		723	:ff54	;
		724	:ff54	;
		725	:ff54	;
		726	:ff54	;
		727	:ff54	;
		728	:ff34	;
		729	:ff53	;
		730	:ff33	;
		731	:ff33	;
		732	:ff33	;
		733	:ff32	;
		734	:ff32	;
		735	:ff31	;
		736	:ff11	;
		737	:ff11	;
		738	:ff11	;
		739	:ff10	;
		740	:f710	;
		741	:feef	;
		742	:f6ef	;
		743	:feef	;
		744	:feef	;
		745	:feee	;
		746	:f6ce	;
		747	:f6ed	;
		748	:feed	;
		749	:fecd	;
		750	:fecc	;
		751	:ee8c	;
		752	:7349	;
		753	:b4ea	;
		754	:fecc	;
		755	:f68b	;
		756	:f66b	;
		757	:ee6b	;
		758	:ee6b	;
		759	:ee4a	;
		760	:ee4a	;
		761	:ee2a	;
		762	:e62a	;
		763	:e60a	;
		764	:e609	;
		765	:e5e9	;
		766	:e5e9	;
		767	:ddc9	;
		768	:ddc9	;
		769	:ddc9	;
		770	:dda8	;
		771	:dda8	;
		772	:dd88	;
		773	:d588	;
		774	:d568	;
		775	:d568	;
		776	:d547	;
		777	:d547	;
		778	:cd47	;
		779	:cd27	;
		780	:cd26	;
		781	:cd06	;
		782	:cd06	;
		783	:c4e6	;
		784	:c4e6	;
		785	:c4c6	;
		786	:c4c6	;
		787	:c4c5	;
		788	:bca5	;
		789	:bca5	;
		790	:bc85	;
		791	:bc85	;
		792	:bc85	;
		793	:bc64	;
		794	:b444	;
		795	:b464	;
		796	:c4e6	;
		797	:c4c5	;
		798	:c4c6	;
		799	:840	;
		800	:1061	;
		801	:e5c5	;
		802	:d564	;
		803	:e62a	;
		804	:ff76	;
		805	:ff55	;
		806	:ff55	;
		807	:ff55	;
		808	:ff55	;
		809	:ff55	;
		810	:ff55	;
		811	:ff55	;
		812	:ff55	;
		813	:ff55	;
		814	:ff55	;
		815	:ff55	;
		816	:ff55	;
		817	:ff55	;
		818	:ff55	;
		819	:ff55	;
		820	:ff35	;
		821	:ff54	;
		822	:ff34	;
		823	:ff54	;
		824	:ff54	;
		825	:ff54	;
		826	:ff54	;
		827	:ff54	;
		828	:ff34	;
		829	:ff34	;
		830	:ff53	;
		831	:ff33	;
		832	:ff33	;
		833	:ff33	;
		834	:ff32	;
		835	:ff32	;
		836	:ff32	;
		837	:ff11	;
		838	:ff11	;
		839	:ff11	;
		840	:ff11	;
		841	:ff10	;
		842	:fef0	;
		843	:feef	;
		844	:feef	;
		845	:feef	;
		846	:feee	;
		847	:feee	;
		848	:f6cd	;
		849	:feed	;
		850	:fecd	;
		851	:ff2d	;
		852	:8bea	;
		853	:62e9	;
		854	:fecc	;
		855	:f6ab	;
		856	:f68b	;
		857	:ee8b	;
		858	:f66b	;
		859	:ee4a	;
		860	:ee4a	;
		861	:ee4a	;
		862	:ee2a	;
		863	:e62a	;
		864	:e60a	;
		865	:e609	;
		866	:e5e9	;
		867	:e5e9	;
		868	:dde9	;
		869	:ddc9	;
		870	:ddc8	;
		871	:ddc8	;
		872	:dd88	;
		873	:dd88	;
		874	:d588	;
		875	:d568	;
		876	:d568	;
		877	:d547	;
		878	:d547	;
		879	:cd47	;
		880	:cd27	;
		881	:cd27	;
		882	:cd07	;
		883	:cd06	;
		884	:c4e6	;
		885	:c4e6	;
		886	:c4c6	;
		887	:c4c6	;
		888	:c4c5	;
		889	:bca5	;
		890	:bca5	;
		891	:bc85	;
		892	:bc85	;
		893	:bc64	;
		894	:b464	;
		895	:b444	;
		896	:c4c6	;
		897	:c4e6	;
		898	:d546	;
		899	:860	;
		900	:1060	;
		901	:dd84	;
		902	:cd23	;
		903	:eeaf	;
		904	:ff56	;
		905	:ff55	;
		906	:ff55	;
		907	:ff55	;
		908	:ff55	;
		909	:ff55	;
		910	:ff55	;
		911	:ff55	;
		912	:ff55	;
		913	:ff55	;
		914	:ff55	;
		915	:ff55	;
		916	:ff55	;
		917	:ff55	;
		918	:ff55	;
		919	:ff55	;
		920	:ff55	;
		921	:ff55	;
		922	:ff54	;
		923	:ff34	;
		924	:ff34	;
		925	:ff54	;
		926	:ff54	;
		927	:ff54	;
		928	:ff54	;
		929	:ff54	;
		930	:ff34	;
		931	:ff54	;
		932	:ff53	;
		933	:ff33	;
		934	:ff33	;
		935	:ff32	;
		936	:ff32	;
		937	:ff32	;
		938	:ff11	;
		939	:ff11	;
		940	:ff11	;
		941	:ff10	;
		942	:ff10	;
		943	:f6f0	;
		944	:feef	;
		945	:feef	;
		946	:f6ee	;
		947	:feef	;
		948	:feee	;
		949	:feed	;
		950	:fecd	;
		951	:ff0d	;
		952	:acca	;
		953	:31a8	;
		954	:d5eb	;
		955	:fecb	;
		956	:f6ab	;
		957	:ee8b	;
		958	:ee8b	;
		959	:ee6b	;
		960	:ee6b	;
		961	:ee4a	;
		962	:ee4a	;
		963	:e62a	;
		964	:e62a	;
		965	:e62a	;
		966	:e609	;
		967	:e5e9	;
		968	:e5e9	;
		969	:dde9	;
		970	:ddc9	;
		971	:ddc9	;
		972	:dda9	;
		973	:dda8	;
		974	:dd88	;
		975	:d588	;
		976	:d568	;
		977	:d568	;
		978	:d567	;
		979	:d547	;
		980	:cd47	;
		981	:cd27	;
		982	:cd27	;
		983	:cd06	;
		984	:cd06	;
		985	:c4e6	;
		986	:c4e6	;
		987	:c4e6	;
		988	:c4c6	;
		989	:c4c5	;
		990	:bca5	;
		991	:bca5	;
		992	:bc85	;
		993	:bc85	;
		994	:bc65	;
		995	:b464	;
		996	:bca5	;
		997	:cd27	;
		998	:d546	;
		999	:1060	;
		1000	:1060	;
		1001	:d564	;
		1002	:c4e2	;
		1003	:eef1	;
		1004	:ff76	;
		1005	:ff55	;
		1006	:ff55	;
		1007	:ff55	;
		1008	:ff55	;
		1009	:ff55	;
		1010	:ff55	;
		1011	:ff55	;
		1012	:ff55	;
		1013	:ff55	;
		1014	:ff55	;
		1015	:ff55	;
		1016	:ff55	;
		1017	:ff55	;
		1018	:ff55	;
		1019	:ff55	;
		1020	:ff55	;
		1021	:ff55	;
		1022	:ff55	;
		1023	:ff54	;
		1024	:ff34	;
		1025	:ff54	;
		1026	:ff54	;
		1027	:ff54	;
		1028	:ff54	;
		1029	:ff54	;
		1030	:ff34	;
		1031	:ff54	;
		1032	:ff34	;
		1033	:ff53	;
		1034	:ff33	;
		1035	:ff33	;
		1036	:ff32	;
		1037	:ff32	;
		1038	:ff31	;
		1039	:ff31	;
		1040	:ff11	;
		1041	:ff11	;
		1042	:ff10	;
		1043	:ff10	;
		1044	:ff0f	;
		1045	:f6ef	;
		1046	:f6ef	;
		1047	:feef	;
		1048	:feee	;
		1049	:fece	;
		1050	:f6ee	;
		1051	:ff0e	;
		1052	:aceb	;
		1053	:4229	;
		1054	:7b8a	;
		1055	:ff2c	;
		1056	:f6ac	;
		1057	:f68c	;
		1058	:f68b	;
		1059	:f68b	;
		1060	:f66b	;
		1061	:ee6b	;
		1062	:ee4a	;
		1063	:ee4a	;
		1064	:ee4a	;
		1065	:e62a	;
		1066	:e62a	;
		1067	:e609	;
		1068	:e5e9	;
		1069	:e5e9	;
		1070	:dde9	;
		1071	:ddc9	;
		1072	:ddc9	;
		1073	:dda9	;
		1074	:dda8	;
		1075	:dd88	;
		1076	:d588	;
		1077	:d568	;
		1078	:d568	;
		1079	:d567	;
		1080	:cd47	;
		1081	:cd47	;
		1082	:cd27	;
		1083	:cd27	;
		1084	:cd06	;
		1085	:cd06	;
		1086	:c4e6	;
		1087	:c4e6	;
		1088	:c4e6	;
		1089	:c4c6	;
		1090	:c4c5	;
		1091	:bca5	;
		1092	:bca5	;
		1093	:bc85	;
		1094	:bc85	;
		1095	:bc65	;
		1096	:bc85	;
		1097	:cd27	;
		1098	:dd87	;
		1099	:1061	;
		1100	:1060	;
		1101	:cd23	;
		1102	:bcc2	;
		1103	:eed1	;
		1104	:ff56	;
		1105	:ff55	;
		1106	:ff55	;
		1107	:ff55	;
		1108	:ff55	;
		1109	:ff55	;
		1110	:ff55	;
		1111	:ff55	;
		1112	:ff55	;
		1113	:ff55	;
		1114	:ff55	;
		1115	:ff55	;
		1116	:ff55	;
		1117	:ff55	;
		1118	:ff55	;
		1119	:ff55	;
		1120	:ff55	;
		1121	:ff55	;
		1122	:ff55	;
		1123	:ff55	;
		1124	:ff34	;
		1125	:ff54	;
		1126	:ff54	;
		1127	:ff54	;
		1128	:ff54	;
		1129	:ff54	;
		1130	:ff54	;
		1131	:ff54	;
		1132	:ff54	;
		1133	:ff53	;
		1134	:ff33	;
		1135	:ff33	;
		1136	:ff33	;
		1137	:ff32	;
		1138	:ff32	;
		1139	:ff32	;
		1140	:ff11	;
		1141	:ff11	;
		1142	:ff11	;
		1143	:ff10	;
		1144	:ff10	;
		1145	:ff10	;
		1146	:feef	;
		1147	:feef	;
		1148	:feef	;
		1149	:feef	;
		1150	:f6ce	;
		1151	:ff2d	;
		1152	:aceb	;
		1153	:4249	;
		1154	:4a69	;
		1155	:f6ac	;
		1156	:fecc	;
		1157	:feac	;
		1158	:f6ab	;
		1159	:f68b	;
		1160	:f68b	;
		1161	:ee6b	;
		1162	:ee6b	;
		1163	:ee4a	;
		1164	:ee4a	;
		1165	:ee2a	;
		1166	:e62a	;
		1167	:e62a	;
		1168	:e609	;
		1169	:e5e9	;
		1170	:e5e9	;
		1171	:dde9	;
		1172	:e5c9	;
		1173	:ddc9	;
		1174	:dda9	;
		1175	:dd88	;
		1176	:dd88	;
		1177	:d588	;
		1178	:d568	;
		1179	:d568	;
		1180	:d567	;
		1181	:d547	;
		1182	:cd47	;
		1183	:cd27	;
		1184	:cd27	;
		1185	:cd06	;
		1186	:cd06	;
		1187	:c4e6	;
		1188	:c4e6	;
		1189	:c4c6	;
		1190	:c4c6	;
		1191	:c4c5	;
		1192	:c4a5	;
		1193	:bca5	;
		1194	:bc85	;
		1195	:bc85	;
		1196	:bca5	;
		1197	:d568	;
		1198	:dda7	;
		1199	:1060	;
		1200	:1060	;
		1201	:cd02	;
		1202	:bc81	;
		1203	:eed1	;
		1204	:ff76	;
		1205	:ff55	;
		1206	:ff55	;
		1207	:ff55	;
		1208	:ff55	;
		1209	:ff55	;
		1210	:ff55	;
		1211	:ff55	;
		1212	:ff55	;
		1213	:ff55	;
		1214	:ff55	;
		1215	:ff55	;
		1216	:ff55	;
		1217	:ff55	;
		1218	:ff55	;
		1219	:ff55	;
		1220	:ff55	;
		1221	:ff55	;
		1222	:ff55	;
		1223	:ff55	;
		1224	:ff35	;
		1225	:ff54	;
		1226	:ff54	;
		1227	:ff54	;
		1228	:ff34	;
		1229	:ff54	;
		1230	:ff54	;
		1231	:ff54	;
		1232	:ff54	;
		1233	:ff34	;
		1234	:ff33	;
		1235	:ff33	;
		1236	:ff33	;
		1237	:ff33	;
		1238	:ff32	;
		1239	:ff32	;
		1240	:ff31	;
		1241	:ff11	;
		1242	:ff11	;
		1243	:ff11	;
		1244	:ff10	;
		1245	:f710	;
		1246	:ff0f	;
		1247	:f6ef	;
		1248	:f6ef	;
		1249	:feef	;
		1250	:feee	;
		1251	:ff0e	;
		1252	:b50b	;
		1253	:4a48	;
		1254	:4a4a	;
		1255	:bd2b	;
		1256	:ff0c	;
		1257	:f6ac	;
		1258	:f6ac	;
		1259	:f6ab	;
		1260	:f68b	;
		1261	:f68b	;
		1262	:f66b	;
		1263	:ee4a	;
		1264	:ee4a	;
		1265	:ee4a	;
		1266	:ee2a	;
		1267	:e62a	;
		1268	:e62a	;
		1269	:e609	;
		1270	:e5e9	;
		1271	:e5e9	;
		1272	:ddc9	;
		1273	:ddc9	;
		1274	:ddc9	;
		1275	:dda9	;
		1276	:dd88	;
		1277	:dd88	;
		1278	:d588	;
		1279	:d568	;
		1280	:d567	;
		1281	:d567	;
		1282	:d547	;
		1283	:cd47	;
		1284	:cd27	;
		1285	:cd27	;
		1286	:cd06	;
		1287	:cd06	;
		1288	:c4e6	;
		1289	:c4e6	;
		1290	:c4c6	;
		1291	:c4c6	;
		1292	:c4c5	;
		1293	:bca5	;
		1294	:bca5	;
		1295	:bc85	;
		1296	:c4c6	;
		1297	:d588	;
		1298	:e5c8	;
		1299	:1060	;
		1300	:860	;
		1301	:c4c2	;
		1302	:b461	;
		1303	:eed1	;
		1304	:ff56	;
		1305	:ff55	;
		1306	:ff55	;
		1307	:ff55	;
		1308	:ff55	;
		1309	:ff55	;
		1310	:ff55	;
		1311	:ff55	;
		1312	:ff55	;
		1313	:ff55	;
		1314	:ff55	;
		1315	:ff55	;
		1316	:ff55	;
		1317	:ff55	;
		1318	:ff55	;
		1319	:ff55	;
		1320	:ff55	;
		1321	:ff55	;
		1322	:ff55	;
		1323	:ff55	;
		1324	:ff55	;
		1325	:ff55	;
		1326	:ff34	;
		1327	:ff54	;
		1328	:ff54	;
		1329	:ff54	;
		1330	:ff54	;
		1331	:ff54	;
		1332	:ff34	;
		1333	:ff54	;
		1334	:ff34	;
		1335	:ff54	;
		1336	:ff53	;
		1337	:ff33	;
		1338	:ff33	;
		1339	:ff32	;
		1340	:ff32	;
		1341	:ff31	;
		1342	:ff31	;
		1343	:ff11	;
		1344	:ff11	;
		1345	:ff10	;
		1346	:fef0	;
		1347	:fef0	;
		1348	:ff0f	;
		1349	:f6ef	;
		1350	:feee	;
		1351	:ff2f	;
		1352	:a4ab	;
		1353	:4208	;
		1354	:4a4a	;
		1355	:83cb	;
		1356	:ff2d	;
		1357	:fecc	;
		1358	:f6ac	;
		1359	:f6ac	;
		1360	:f68b	;
		1361	:f68b	;
		1362	:ee6b	;
		1363	:ee6b	;
		1364	:ee6b	;
		1365	:ee4a	;
		1366	:ee4a	;
		1367	:ee4a	;
		1368	:e62a	;
		1369	:e629	;
		1370	:e5e9	;
		1371	:e5e9	;
		1372	:e5e9	;
		1373	:ddc9	;
		1374	:ddc9	;
		1375	:ddc9	;
		1376	:dda8	;
		1377	:dda8	;
		1378	:dd88	;
		1379	:d588	;
		1380	:d568	;
		1381	:d568	;
		1382	:d547	;
		1383	:d547	;
		1384	:cd47	;
		1385	:cd27	;
		1386	:cd27	;
		1387	:cd06	;
		1388	:cd06	;
		1389	:c4e6	;
		1390	:c4e6	;
		1391	:c4e6	;
		1392	:c4c5	;
		1393	:bca5	;
		1394	:bca5	;
		1395	:bca5	;
		1396	:c4c6	;
		1397	:ddc8	;
		1398	:ede8	;
		1399	:1060	;
		1400	:840	;
		1401	:c4a1	;
		1402	:b420	;
		1403	:eeb1	;
		1404	:ff76	;
		1405	:ff55	;
		1406	:ff55	;
		1407	:ff55	;
		1408	:ff55	;
		1409	:ff55	;
		1410	:ff55	;
		1411	:ff55	;
		1412	:ff55	;
		1413	:ff55	;
		1414	:ff55	;
		1415	:ff55	;
		1416	:ff55	;
		1417	:ff55	;
		1418	:ff55	;
		1419	:ff55	;
		1420	:ff55	;
		1421	:ff55	;
		1422	:ff55	;
		1423	:ff55	;
		1424	:ff55	;
		1425	:ff55	;
		1426	:ff35	;
		1427	:ff54	;
		1428	:ff54	;
		1429	:ff54	;
		1430	:ff54	;
		1431	:ff54	;
		1432	:ff54	;
		1433	:ff54	;
		1434	:ff34	;
		1435	:ff34	;
		1436	:ff54	;
		1437	:ff33	;
		1438	:ff33	;
		1439	:ff33	;
		1440	:ff32	;
		1441	:ff32	;
		1442	:ff32	;
		1443	:ff11	;
		1444	:ff11	;
		1445	:ff11	;
		1446	:ff11	;
		1447	:ff10	;
		1448	:ff10	;
		1449	:f70f	;
		1450	:feef	;
		1451	:ff2f	;
		1452	:942b	;
		1453	:39e8	;
		1454	:4a6a	;
		1455	:6b2b	;
		1456	:ee8d	;
		1457	:feec	;
		1458	:f6cc	;
		1459	:f6cc	;
		1460	:f6ac	;
		1461	:f6ab	;
		1462	:f68b	;
		1463	:ee8b	;
		1464	:ee6b	;
		1465	:ee6b	;
		1466	:ee4a	;
		1467	:ee4a	;
		1468	:ee4a	;
		1469	:e62a	;
		1470	:e60a	;
		1471	:e609	;
		1472	:e5e9	;
		1473	:e5e9	;
		1474	:dde9	;
		1475	:ddc9	;
		1476	:ddc9	;
		1477	:ddc8	;
		1478	:dda8	;
		1479	:dd88	;
		1480	:d588	;
		1481	:d568	;
		1482	:d568	;
		1483	:d567	;
		1484	:d547	;
		1485	:cd47	;
		1486	:cd27	;
		1487	:cd27	;
		1488	:cd06	;
		1489	:cd06	;
		1490	:c4e6	;
		1491	:c4e6	;
		1492	:c4e6	;
		1493	:c4c6	;
		1494	:c4c5	;
		1495	:bca5	;
		1496	:c4e6	;
		1497	:dde9	;
		1498	:ee29	;
		1499	:1060	;
		1500	:840	;
		1501	:bc60	;
		1502	:ac00	;
		1503	:eeb1	;
		1504	:ff56	;
		1505	:ff55	;
		1506	:ff55	;
		1507	:ff55	;
		1508	:ff55	;
		1509	:ff55	;
		1510	:ff55	;
		1511	:ff55	;
		1512	:ff55	;
		1513	:ff55	;
		1514	:ff55	;
		1515	:ff55	;
		1516	:ff55	;
		1517	:ff55	;
		1518	:ff55	;
		1519	:ff55	;
		1520	:ff55	;
		1521	:ff55	;
		1522	:ff55	;
		1523	:ff55	;
		1524	:ff55	;
		1525	:ff55	;
		1526	:ff55	;
		1527	:ff55	;
		1528	:ff54	;
		1529	:ff54	;
		1530	:ff54	;
		1531	:ff54	;
		1532	:ff54	;
		1533	:ff54	;
		1534	:ff54	;
		1535	:ff34	;
		1536	:ff34	;
		1537	:ff53	;
		1538	:ff33	;
		1539	:ff33	;
		1540	:ff33	;
		1541	:ff32	;
		1542	:ff32	;
		1543	:ff32	;
		1544	:ff11	;
		1545	:ff11	;
		1546	:ff11	;
		1547	:ff10	;
		1548	:ff10	;
		1549	:ff10	;
		1550	:ff0f	;
		1551	:eeaf	;
		1552	:736a	;
		1553	:4208	;
		1554	:4a6a	;
		1555	:5aeb	;
		1556	:cdad	;
		1557	:feed	;
		1558	:f6cc	;
		1559	:fecc	;
		1560	:f6ac	;
		1561	:f6ab	;
		1562	:f6ab	;
		1563	:f68b	;
		1564	:ee8b	;
		1565	:ee6b	;
		1566	:ee4a	;
		1567	:ee4a	;
		1568	:ee4a	;
		1569	:ee2a	;
		1570	:e62a	;
		1571	:e60a	;
		1572	:e609	;
		1573	:e5e9	;
		1574	:e5e9	;
		1575	:dde9	;
		1576	:ddc9	;
		1577	:ddc9	;
		1578	:dda8	;
		1579	:dda8	;
		1580	:dd88	;
		1581	:d588	;
		1582	:d568	;
		1583	:d568	;
		1584	:d547	;
		1585	:d547	;
		1586	:cd47	;
		1587	:cd27	;
		1588	:cd27	;
		1589	:cd07	;
		1590	:cd06	;
		1591	:c4e6	;
		1592	:c4e6	;
		1593	:c4c6	;
		1594	:c4c6	;
		1595	:bca5	;
		1596	:cce6	;
		1597	:e609	;
		1598	:f649	;
		1599	:1060	;
		1600	:840	;
		1601	:bc40	;
		1602	:a3c0	;
		1603	:eeb1	;
		1604	:ff76	;
		1605	:ff55	;
		1606	:ff55	;
		1607	:ff55	;
		1608	:ff55	;
		1609	:ff55	;
		1610	:ff55	;
		1611	:ff55	;
		1612	:ff55	;
		1613	:ff55	;
		1614	:ff55	;
		1615	:ff55	;
		1616	:ff55	;
		1617	:ff55	;
		1618	:ff55	;
		1619	:ff55	;
		1620	:ff55	;
		1621	:ff55	;
		1622	:ff55	;
		1623	:ff55	;
		1624	:ff55	;
		1625	:ff55	;
		1626	:ff55	;
		1627	:ff55	;
		1628	:ff55	;
		1629	:ff54	;
		1630	:ff34	;
		1631	:ff54	;
		1632	:ff54	;
		1633	:ff54	;
		1634	:ff54	;
		1635	:ff54	;
		1636	:ff34	;
		1637	:ff34	;
		1638	:ff53	;
		1639	:ff33	;
		1640	:ff33	;
		1641	:ff33	;
		1642	:ff32	;
		1643	:ff32	;
		1644	:ff32	;
		1645	:ff31	;
		1646	:ff11	;
		1647	:ff11	;
		1648	:ff11	;
		1649	:ff10	;
		1650	:ff10	;
		1651	:de0e	;
		1652	:5aa9	;
		1653	:4228	;
		1654	:5289	;
		1655	:52aa	;
		1656	:b50d	;
		1657	:ff0d	;
		1658	:f6cd	;
		1659	:fecc	;
		1660	:f6ac	;
		1661	:f6ac	;
		1662	:f6ac	;
		1663	:f6ab	;
		1664	:f68b	;
		1665	:ee8b	;
		1666	:ee6b	;
		1667	:ee6b	;
		1668	:ee4a	;
		1669	:ee4a	;
		1670	:ee2a	;
		1671	:e62a	;
		1672	:e60a	;
		1673	:e609	;
		1674	:e5e9	;
		1675	:e5e9	;
		1676	:dde9	;
		1677	:ddc9	;
		1678	:ddc9	;
		1679	:dda9	;
		1680	:dd88	;
		1681	:dd88	;
		1682	:d588	;
		1683	:d568	;
		1684	:d568	;
		1685	:d567	;
		1686	:d547	;
		1687	:cd47	;
		1688	:cd27	;
		1689	:cd27	;
		1690	:cd06	;
		1691	:cd06	;
		1692	:c4e6	;
		1693	:c4e6	;
		1694	:c4c6	;
		1695	:c4c5	;
		1696	:cd06	;
		1697	:e62a	;
		1698	:f66a	;
		1699	:1060	;
		1700	:840	;
		1701	:b400	;
		1702	:a3a0	;
		1703	:ee90	;
		1704	:ff76	;
		1705	:ff55	;
		1706	:ff55	;
		1707	:ff55	;
		1708	:ff55	;
		1709	:ff55	;
		1710	:ff55	;
		1711	:ff55	;
		1712	:ff55	;
		1713	:ff55	;
		1714	:ff55	;
		1715	:ff55	;
		1716	:ff55	;
		1717	:ff55	;
		1718	:ff55	;
		1719	:ff55	;
		1720	:ff55	;
		1721	:ff55	;
		1722	:ff55	;
		1723	:ff55	;
		1724	:ff55	;
		1725	:ff55	;
		1726	:ff55	;
		1727	:ff55	;
		1728	:ff55	;
		1729	:ff55	;
		1730	:ff34	;
		1731	:ff54	;
		1732	:ff54	;
		1733	:ff34	;
		1734	:ff54	;
		1735	:ff54	;
		1736	:ff54	;
		1737	:ff34	;
		1738	:ff34	;
		1739	:ff54	;
		1740	:ff33	;
		1741	:ff33	;
		1742	:ff33	;
		1743	:ff32	;
		1744	:ff32	;
		1745	:ff31	;
		1746	:ff11	;
		1747	:ff11	;
		1748	:ff11	;
		1749	:ff10	;
		1750	:ff30	;
		1751	:b52d	;
		1752	:39c7	;
		1753	:4a28	;
		1754	:528a	;
		1755	:528a	;
		1756	:a4ad	;
		1757	:ff2e	;
		1758	:fecd	;
		1759	:fecd	;
		1760	:f6cc	;
		1761	:fecc	;
		1762	:f6ac	;
		1763	:f6ab	;
		1764	:f6ab	;
		1765	:f68b	;
		1766	:f68b	;
		1767	:ee6b	;
		1768	:ee6b	;
		1769	:ee4a	;
		1770	:ee4a	;
		1771	:ee2a	;
		1772	:e62a	;
		1773	:e60a	;
		1774	:e609	;
		1775	:e5e9	;
		1776	:e5e9	;
		1777	:ddc9	;
		1778	:ddc9	;
		1779	:ddc9	;
		1780	:dda9	;
		1781	:dd88	;
		1782	:dd88	;
		1783	:d588	;
		1784	:d568	;
		1785	:d567	;
		1786	:d567	;
		1787	:cd47	;
		1788	:cd47	;
		1789	:cd27	;
		1790	:cd26	;
		1791	:cd06	;
		1792	:cd06	;
		1793	:c4e6	;
		1794	:c4e6	;
		1795	:c4c6	;
		1796	:cd27	;
		1797	:ee6a	;
		1798	:fe8a	;
		1799	:1060	;
		1800	:840	;
		1801	:abe0	;
		1802	:9b60	;
		1803	:ee90	;
		1804	:ff76	;
		1805	:ff55	;
		1806	:ff55	;
		1807	:ff55	;
		1808	:ff55	;
		1809	:ff55	;
		1810	:ff55	;
		1811	:ff55	;
		1812	:ff55	;
		1813	:ff55	;
		1814	:ff55	;
		1815	:ff55	;
		1816	:ff55	;
		1817	:ff55	;
		1818	:ff55	;
		1819	:ff55	;
		1820	:ff55	;
		1821	:ff55	;
		1822	:ff55	;
		1823	:ff55	;
		1824	:ff55	;
		1825	:ff55	;
		1826	:ff55	;
		1827	:ff55	;
		1828	:ff55	;
		1829	:ff55	;
		1830	:ff35	;
		1831	:ff34	;
		1832	:ff54	;
		1833	:ff54	;
		1834	:ff34	;
		1835	:ff54	;
		1836	:ff54	;
		1837	:ff54	;
		1838	:ff54	;
		1839	:ff34	;
		1840	:ff54	;
		1841	:ff33	;
		1842	:ff33	;
		1843	:ff33	;
		1844	:ff32	;
		1845	:ff32	;
		1846	:ff12	;
		1847	:ff12	;
		1848	:ff31	;
		1849	:ff31	;
		1850	:f6f0	;
		1851	:6309	;
		1852	:39c7	;
		1853	:4a49	;
		1854	:528a	;
		1855	:528a	;
		1856	:942c	;
		1857	:f6ce	;
		1858	:feed	;
		1859	:fecd	;
		1860	:f6cd	;
		1861	:fecc	;
		1862	:f6ac	;
		1863	:f6ac	;
		1864	:f6ac	;
		1865	:f6ab	;
		1866	:f68b	;
		1867	:f68b	;
		1868	:f66b	;
		1869	:ee4a	;
		1870	:ee4a	;
		1871	:ee4a	;
		1872	:e62a	;
		1873	:e62a	;
		1874	:e62a	;
		1875	:e609	;
		1876	:e5e9	;
		1877	:e5e9	;
		1878	:ddc9	;
		1879	:e5c9	;
		1880	:ddc9	;
		1881	:dda9	;
		1882	:dda8	;
		1883	:dd88	;
		1884	:d588	;
		1885	:d568	;
		1886	:d568	;
		1887	:d567	;
		1888	:d547	;
		1889	:cd47	;
		1890	:cd27	;
		1891	:cd27	;
		1892	:cd06	;
		1893	:cd06	;
		1894	:c506	;
		1895	:c4c6	;
		1896	:cd27	;
		1897	:f68b	;
		1898	:feab	;
		1899	:1080	;
		1900	:840	;
		1901	:a3a0	;
		1902	:9340	;
		1903	:e670	;
		1904	:ff76	;
		1905	:ff55	;
		1906	:ff55	;
		1907	:ff55	;
		1908	:ff55	;
		1909	:ff55	;
		1910	:ff55	;
		1911	:ff55	;
		1912	:ff55	;
		1913	:ff55	;
		1914	:ff55	;
		1915	:ff55	;
		1916	:ff55	;
		1917	:ff55	;
		1918	:ff55	;
		1919	:ff55	;
		1920	:ff55	;
		1921	:ff55	;
		1922	:ff55	;
		1923	:ff55	;
		1924	:ff55	;
		1925	:ff55	;
		1926	:ff55	;
		1927	:ff55	;
		1928	:ff55	;
		1929	:ff55	;
		1930	:ff55	;
		1931	:ff55	;
		1932	:ff54	;
		1933	:ff54	;
		1934	:ff54	;
		1935	:ff54	;
		1936	:ff54	;
		1937	:ff54	;
		1938	:ff54	;
		1939	:ff34	;
		1940	:ff54	;
		1941	:ff54	;
		1942	:ff53	;
		1943	:ff33	;
		1944	:ff33	;
		1945	:ff32	;
		1946	:ff31	;
		1947	:ff32	;
		1948	:ff11	;
		1949	:ff52	;
		1950	:c56e	;
		1951	:2966	;
		1952	:4208	;
		1953	:4229	;
		1954	:528a	;
		1955	:52aa	;
		1956	:8c0c	;
		1957	:f6ce	;
		1958	:feee	;
		1959	:feed	;
		1960	:feed	;
		1961	:fecd	;
		1962	:fecc	;
		1963	:f6cc	;
		1964	:f6cc	;
		1965	:f6ac	;
		1966	:f6ab	;
		1967	:f68b	;
		1968	:f68b	;
		1969	:ee6b	;
		1970	:ee6b	;
		1971	:ee4a	;
		1972	:ee4a	;
		1973	:ee2a	;
		1974	:e62a	;
		1975	:e60a	;
		1976	:e609	;
		1977	:e5e9	;
		1978	:e5e9	;
		1979	:dde9	;
		1980	:ddc9	;
		1981	:ddc9	;
		1982	:dda9	;
		1983	:dda8	;
		1984	:dd88	;
		1985	:d588	;
		1986	:d568	;
		1987	:d567	;
		1988	:d567	;
		1989	:d547	;
		1990	:cd47	;
		1991	:cd27	;
		1992	:cd27	;
		1993	:cd06	;
		1994	:cd06	;
		1995	:c4e6	;
		1996	:d547	;
		1997	:f6ab	;
		1998	:feeb	;
		1999	:1080	;
		2000	:840	;
		2001	:a380	;
		2002	:9300	;
		2003	:e670	;
		2004	:ff76	;
		2005	:ff55	;
		2006	:ff55	;
		2007	:ff55	;
		2008	:ff55	;
		2009	:ff55	;
		2010	:ff55	;
		2011	:ff55	;
		2012	:ff55	;
		2013	:ff55	;
		2014	:ff55	;
		2015	:ff55	;
		2016	:ff55	;
		2017	:ff55	;
		2018	:ff55	;
		2019	:ff55	;
		2020	:ff55	;
		2021	:ff55	;
		2022	:ff55	;
		2023	:ff55	;
		2024	:ff55	;
		2025	:ff55	;
		2026	:ff55	;
		2027	:ff55	;
		2028	:ff55	;
		2029	:ff55	;
		2030	:ff55	;
		2031	:ff55	;
		2032	:ff55	;
		2033	:ff34	;
		2034	:ff54	;
		2035	:ff54	;
		2036	:ff54	;
		2037	:ff54	;
		2038	:ff54	;
		2039	:ff54	;
		2040	:ff54	;
		2041	:ff54	;
		2042	:ff33	;
		2043	:ff53	;
		2044	:ff33	;
		2045	:ff33	;
		2046	:ff32	;
		2047	:ff32	;
		2048	:ff32	;
		2049	:ff52	;
		2050	:4227	;
		2051	:31a7	;
		2052	:4208	;
		2053	:4a49	;
		2054	:528a	;
		2055	:528a	;
		2056	:8c2c	;
		2057	:f6ce	;
		2058	:feee	;
		2059	:feee	;
		2060	:feed	;
		2061	:feed	;
		2062	:fecd	;
		2063	:f6cc	;
		2064	:f6cc	;
		2065	:fecc	;
		2066	:f6ac	;
		2067	:f68b	;
		2068	:f68b	;
		2069	:ee8b	;
		2070	:ee6b	;
		2071	:ee6b	;
		2072	:ee4a	;
		2073	:ee4a	;
		2074	:ee4a	;
		2075	:e62a	;
		2076	:e609	;
		2077	:e609	;
		2078	:e5e9	;
		2079	:e5e9	;
		2080	:ddc9	;
		2081	:ddc9	;
		2082	:ddc9	;
		2083	:dda8	;
		2084	:dda8	;
		2085	:dd88	;
		2086	:d588	;
		2087	:d568	;
		2088	:d568	;
		2089	:d547	;
		2090	:d547	;
		2091	:cd47	;
		2092	:cd27	;
		2093	:cd27	;
		2094	:cd06	;
		2095	:c4e6	;
		2096	:d547	;
		2097	:f6cc	;
		2098	:ff0c	;
		2099	:1080	;
		2100	:840	;
		2101	:b422	;
		2102	:8ac0	;
		2103	:e670	;
		2104	:ff76	;
		2105	:ff55	;
		2106	:ff55	;
		2107	:ff55	;
		2108	:ff55	;
		2109	:ff55	;
		2110	:ff55	;
		2111	:ff55	;
		2112	:ff55	;
		2113	:ff55	;
		2114	:ff55	;
		21
  • 1
    点赞
  • 16
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

石小舟

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值