如何实例化uvm_object

uvm_object类是所有UVM数据以及层次结构的基类,其主要的角色是定义一系列的方法,比如一些公共的操作:create(), copy(), compare(), print(), record()等。
其中的 create() 与 get_type_name() 虚方法被简单实现,不再是纯虚方法。但在扩展类中如果需要使用与这两种方法的相关方法时必须实现这两种方法。1. 层次结构uvm_object扩展自uvm_void2. 类的预定义预定义uvm_object的相关扩展类,以便在uvm_object的相关方法中调用:typedef class uvm_report_object;typedef class uvm_object_wrapper;typedef class uvm_objection; // uvm_object类中未使用typedef class uvm_component; // uvm_object类中未使用typedef class uvm_status_container;123453. 类的声明virtual class uvm_object extends uvm_void;endclass124. 类的方法变量的声明 // 静态变量,决定是否使能UVM中的播种机制,影响全局的reseed()方法; static bit use_uvm_seeding = 1; // 局部变量,通过new函数将类的实例化名传递给该变量,变量对子类及外部不可见; local string m_leaf_name; // 局部变量,使用new()函数实例化类时,m_inst_count自动加1并传递给该局部变量,用于返回实例化id,变量对子类及外部不可见; local int m_inst_id; // 静态局部变量,用于返回实例化次数,该局部变量对子类可见,对外部不可见; static protected int m_inst_count; static uvm_status_container m_uvm_status_container = new; // 查找表,用于copy()函数的实现; local static uvm_object uvm_global_copy_map[uvm_object];12345678910111213141516方法的声明 extern function new (string name=""); extern static function int get_inst_count(); extern virtual function int get_inst_id (); extern virtual function string get_name (); extern virtual function string get_full_name (); extern virtual function void set_name (string name); virtual function string get_type_name (); return “”; endfunction virtual function uvm_object create (string name=""); return null; endfunction extern function void reseed (); extern static function uvm_object_wrapper get_type (); extern virtual function uvm_object_wrapper get_object_type (); extern virtual function uvm_object clone (); extern function void print (uvm_printer printer=null); extern function string sprint (uvm_printer printer=null); extern virtual function void do_print (uvm_printer printer); extern virtual function string convert2string(); extern function void record (uvm_recorder recorder=null); extern virtual function void do_record (uvm_recorder recorder); extern function void copy (uvm_object rhs); extern virtual function void do_copy (uvm_object rhs); extern function bit compare (uvm_object rhs, uvm_comparer comparer=null); extern virtual function bit do_compare (uvm_object rhs, uvm_comparer comparer); extern function int pack (ref bit bitstream[], input uvm_packer packer=null); extern function int pack_bytes (ref byte unsigned bytestream[], input uvm_packer packer=null); extern function int pack_ints (ref int unsigned intstream[], input uvm_packer packer=null); extern virtual function void do_pack (uvm_packer packer); extern function int unpack (ref bit bitstream[], input uvm_packer packer=null); extern function int unpack_bytes (ref byte unsigned bytestream[], input uvm_packer packer=null); extern function int unpack_ints (ref int unsigned intstream[], input uvm_packer packer=null); extern virtual function void do_unpack (uvm_packer packer); extern virtual function void set_int_local (string field_name, uvm_bitstream_t value, bit recurse=1); extern virtual function void set_string_local (string field_name, string value, bit recurse=1); extern virtual function void set_object_local (string field_name, uvm_object value, bit clone=1, bit recurse=1); extern local function void m_pack (inout uvm_packer packer); extern local function

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
### 回答1: UVM (Unified Verification Methodology) 是一种用于数字IC验证的方法论,它的一个重要概念是UVM 对象包装器(UVM Object Wrapper)。UVM Object Wrapper是一种对验证环境的基础对象进行封装的机制,以提供更高级的抽象层次和附加的功能。它使得验证环境更加统一和可管理,也提高了可重用性和可维护性。 ### 回答2: uvm_object_wrapper是UVM的一个类,用于实现动态类型的封装。它是一个模板类,通常用于创建UVM对象的实例。 在UVM,我们可以使用uvm_object_wrapper来实例化各种不同类型的对象,无论是从uvm_object派生的类,还是从其它派生类。这是因为uvm_object_wrapper提供了一个统一的接口来封装各种类型的对象,并提供了一些实用的方法来进行对象的创建和销毁。 uvm_object_wrapper的特点之一是其灵活性。它使得我们不再需要在实例化对象时事先知道对象的确切类型,而是可以通过uvm_object_wrapper来动态确定对象的类型。这样一来,在使用UVM进行测试时,我们可以方便地在运行时动态地切换测试对象的类型,以满足测试的需求。 另一个重要的特点是uvm_object_wrapper提供了一种便于对象创建和销毁的方式。我们可以使用uvm_object_wrapper提供的create方法来创建对象的实例,并使用destroy方法来销毁对象。这种方式使得对象的创建和销毁可以更加灵活地进行管理,从而提高了代码的可维护性和可扩展性。 总之,uvm_object_wrapper是UVM一个非常有用的类,它提供了一种灵活和方便的方式来封装和管理对象的实例化。通过使用uvm_object_wrapper,我们可以在运行时动态确定对象的类型,并更加灵活地进行对象的创建和销毁。这些特性使得uvm_object_wrapper成为UVM测试框架的一个重要组成部分。 ### 回答3: uvm_object_wrapper是SystemVerilog用于包裹UVM (Universal Verification Methodology)对象的类。UVM是一种验证方法学,被广泛应用于硬件验证领域,它提供了一种结构化的测试环境和验证框架。 在UVM,对象是被用来描述被验证的硬件模块、数据结构以及测试用例的抽象。uvm_object_wrapper类被设计用来方便地创建和管理这些对象。 uvm_object_wrapper类是UVM的一个基类,它定义了用于创建和管理UVM对象的一些必要方法。通过继承uvm_object_wrapper类,可以创建自定义的UVM对象,并使用UVM框架的各种功能和方法。 uvm_object_wrapper类的主要方法包括:create、get_type_name和kind等。create方法用于创建UVM对象的实例,并返回一个指向该实例的句柄;get_type_name方法返回对象的类型名;kind方法返回对象的类型。 通过使用uvm_object_wrapper类,可以方便地创建和管理UVM对象。在测试环境,可以使用这些对象进行数据生成、发送、接收和检查等操作。同时,uvm_object_wrapper类也支持对象的层次结构和继承关系,使得对复杂的测试用例和数据结构进行描述和管理变得简单和灵活。 总之,uvm_object_wrapper是UVM用于包裹和管理UVM对象的类。它提供了创建对象、管理对象的各种方法,并支持对象的层次结构和继承关系。通过使用uvm_object_wrapper,可以方便地描述和管理UVM对象,实现高效的硬件验证。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值