UVM对象实例化及覆盖的几种方法

UVM对象实例化的方法有多种,以下以object为例:

1)第一种:直接利用new函数进行实例化

trans t1;
t1 = new("t1");//利用new函数进行实例化

2)第二种:uvm_component_registry中提供的方法type_id::create,此种方法也是最常使用的方法

trans t2;
t2 = trans::type_id::create("t2",this);

3)第三种:uvm_factory中提供的方法creat_object_by_type

trans t3;
void'($cast(t3,f.creat_object_by_type(trans::get_type(),get_full_name(),"t3"))); 

使用creat_object_by_type需要的是:
1)creat_object_by_type方法返回的是uvm_object类型的句柄,因此需要转化成扩展类
2)get_type返回类型是uvm_object_wrapper,其为某一个类在工厂中注册时的句柄
3)get_full_name()返回实例化的名称,默认以get_name类似
4)使用$cast进行类型转化失败后会有报错提示

4)第四种:uvm_component中预先定义的方法create_object

trans t4;
void'($cast(t4,create_object("trans","t4")));

使用create_object需要的是:
1)等同于factory.create_object_by_name(requested_type_name,get_full_name(), name);
2)在此处可以调用uvm_component 中的create_object函数是因为该实例是在继承源uvm_component的类中实现的

UVM类型或对象覆盖有多种方法(此处不做详解,只列出):
实例覆盖:
在这里插入图片描述
类型覆盖:
在这里插入图片描述

  • 3
    点赞
  • 35
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值