仿真回退 VCS+VERDI

本文介绍了如何在VCS+VERDI环境下进行仿真回退操作。通过在Makefile中设置,启动VERDI并启用回退功能,设置断点,然后使用run命令开始仿真。当需要回退到先前状态时,利用VERDI的reverse功能,可以方便地回到指定仿真点,避免重复启动仿真。
摘要由CSDN通过智能技术生成

仿真回退 VCS+VERDI

Makefile中加上-lca -kdb -debug_access+all+reverse
请添加图片描述
运行 ./simv -verdi & 启动verdi之后,需要打开verdi的回退仿真功能

tool->Preferences中设置
请添加图片描述
请添加图片描述
设置几个断点;在verdi的console中,输入run,启动仿真;
请添加图片描述
点击run/continue reverse回退仿真
请添加图片描述

  • 0
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值